Страница 11 из 33 Первая ... 91011121321 ... Последняя
Показано с 201 по 220 из 647

Тема: Проектируем цифровой фильтр для ЦАП 2

  1. #1 Показать/скрыть первое сообщение.
    Завсегдатай
    Автор темы
    Аватар для dortonyan
    Регистрация
    03.06.2009
    Адрес
    BLR
    Возраст
    38
    Сообщений
    3,336

    По умолчанию Проектируем цифровой фильтр для ЦАП 2

    В продолжение ветки open source проект универсального цифрового фильтра.
    Данный проект имел своей целью сделать цапострой на параллельных конверторах более доступным, т.к. интегральные ЦФ для параллельных ЦАП купить достаточно сложно и дорого.

    Особенности фильтра, выгодно отличающие его от интегральных аналогов, доступных в продаже:
    - поддержка х32 оверсемплинга,
    - автопереключение кратности интерполяции в зав-ти от входной ДЧ
    - поддержка конвейерного вывода данных
    - совместимость с большим кол-вом параллельных ЦАП-ов, в том числе SPI
    - 55Дб ослабление на частоте Найквиста
    - конфигурация режимов ЦФ выполняется внешними пинами, не требуя внешнего конфигурационного MCU
    - невысокая стоимость

    Фильтр писался под плисины в QFP-100 корпусах (латтис и алльтеру), но при желании проект несложно портировать и на любую другую плисину.
    В проекте не используются выделенные умножители и описание блоков памяти выполнено универсальным верилоговским стилем.

    Описание в стиле ДШ на агл. языке смотри в файле DF1_1_0_0.pdf
    Прошивки сделаны под плисины в 100-пиновых выводных корпусах: LCMXO2-2000 и EP1C3T100 - DF1_firmware.zip.
    Исходники написаны на верилоге: DF1_source.zip.
    Для гибкости проекта в него введен файл конфигурации, в котором можно менять параметры ЦФ и выбирать условную компиляцию под плисину lattice config_lattice.zip, или altera - config_altera.zip. Данный файл конфигурации дополняет исходники для компиляции проекта.
    Латтисы удобны меньшим потреблением и встроенной флешью. А циклоны - тем что их проще купить (полно на ибее).
    При этом плисины Lattice желательно выбирать с грейдом скорости выше единицы. Самый медленный ZE-1 в принципе тоже работает, но при повышенных температурах, или при просадках питания - не гарантированно.
    Так же во вложении смотри литературу по цифровой арифметике.

    Информация по тестам данного проекта вживую:

    Все модули и режимы (под латтис и под альтеру) отлаживались и проверялись во симуляторе Quartus. Для lattice fpga тайминги отдельно проверялись и оптимизировались в ide diamond.
    Так же, обе конфигурации (под латтис и под альтеру) проверялись в живую на моем ЦАПе на LCMXO2-7000ZE-1, но в ограниченном режиме. Т.е. проверено все, что позволила схемотехника моего ЦАП.
    Что проверено из основного функционала:
    - АЧХ фильтра на частоте Найквиста при входной частоте дискретизации 44,1кГц в режиме lp_mode = 0 и lp_mode = 1.
    - Работа на разном максимальном оверсемплинге: от х4 до х32.
    - Автоматическое переключение оверсемплинга при повышении входной частоты дискретизации до 384кГц.
    - Автоматическое переключение в режим байпасса.
    - Отработка переполнения (цифровой клипп на меандре и на звуковой фонограмме).
    - Индикация входной ЧД, байпасса и клиппа.
    - Вывод данных на битклоке разной скорости (от clk/4 до clk/1).
    - Смена скважности сигнала деглитчера.
    - Работа входного аттенюатора (как логикой во входном модуле, так и коэффициентами).
    - Работа дизеринга и ноизшейпинга округления данных на выходе.

    Что не проверено (проверено только в симуляторе):
    - Работа с тактовой частотой 768Fs.
    - Разные режимы вывода данных (SPI_MODE), в частности не проверена работа конвейерного вывода данных и вывод с непрерывным битклоком.
    - Не проверен вывод данных с SPI заголовками.
    - Кроме того, допускаю наличие описок и в описании (режимов работы и пинов конфигурации очень много). Поэтому версию проекта озаглавлена как alpha.
    [свернуть]


    Описание общей архитектуры ядра фильтра DF1:


    Для максимальной экономии ресурсов fpga фильтр использует х2 каскады симметричных фазолинейных FIR фильтров-интерполяторов.
    - х2 каскады снижают требуемы ресурсы для фильтрации, а так же делают удобным механизм смены кратности оверсемплинга.
    - Фазолинейность означает симметрию импульсной хар-ки относительно центрального пика.
    - Симметричность означает, что используется FIR четного порядка (это значит с нечетным числом коэф-тов, т.е. с одним центральным коэффициентом в максимуме).

    Всего в своем составе фильтр содержит 5 каскадов х2 интерполяторов, которые позволяют развивать максимальную кратность оверсемплинга 2^5 = х32.
    Каждый х2 каскад интерполятора имеет свой fifo буфер данных для расчета свертки фильтра. Итого, в составе фильтра всего 5 fifo буферов интерполяторов. Плюс, фильтр имеет отдельной fifo буфер для хранения результата расчета последнего х2 каскада.

    На каждый входной семпл х2 интерполятор рассчитывает два новых семпла.
    Входные данные всегда добавляются в fifo первого каскада. Результат расчета свертки первого каскада (два новых семпла) записывается в fifo будет 2-го каскада. Второй каскад для каждого нового семпла так же рассчитывает пару новых и результат (всего четыре новых семпла) добавляет в fifo 3-го каскада. И так далее...
    Последний 5-ый каскад для входных 16-ти семплов рассчитывает новые 32 семпла и помещает результат в fifo выходного буфера. Из выходного fifo буфера данные с заданной выходной частотой дискретизации извлекаются и передаются на выход.

    При снижении кратности оверсемплинга выходной fifo буфер принимает данные не с 5-го каскада, а из одного из предыдущих.
    Например, при кратности х8, входные данные добавляются в fifo 1-го х2 каскада. Далее, результат (два семпла) - добавляются в fifo 2-го каскада. Результат 2-го (4 семпла) добавляются в fifo 3-го каскада. И в конце - результат 3-го каскада (8 семплов) добавляются в выходной fifo буфер.

    Для экономии ресурсов fpga все каскады фильтра для расчета новых семплов используют общий арифметический блок (по одному на каждый канал) и общих блок ОЗУ. Поэтому расчет новых семплов каждого каскада выполняется последовательно (по очереди).
    Модули MAC, работают в конвейерном режиме, т.е. результат на выходе мака появляется через несколько тактов после загрузки последнего семпла данных на входе. Данное обстоятельство создает коллизию при последовательном обсчете каскадов от первого к последнему, т.к. расчет свертки следующего каскада будет начинаться раньше, чем завершится расчет семплов предыдущего каскада. Данную коллизию можно устранить просто добавляя паузы ожидания на выгрузку конвейера маков, но в таком случае упадет производительность блока арифметики.
    Поэтому, для устранения данной коллизии используется другой метод - обсчет каскадов выполняется в обратном порядке (от последнего к первому).
    Т.е. в режиме х32 оверсемплинга при загрузке нового семпла в fifo 1-го каскада, конечный автомат сначала запускает 5-ый х2 каскад и рассчитывает 32 новых семпла, которые записываются в выходной fifo буфер. Затем - второй х2 каскад, котоый записывает 16 новых семплов в fifo 5-го каскада и т.д.

    Т.к. fifo буферы размещены в общей ОЗУ, то данный блок поделен на сектора. Всего 6 рабочих секторов: 5 для fifo интерполяторов и один для выходного буфера.
    Для упрощения арифметики адресации размеры буферов fifo выбраны кратными 2^N (адрес такого буфера при переполнении автоматом переходит на начало).

    Т.к. при интерполяции промежуточные семплы прореживаются нулями, то объем fifo буфера интерполятора можно задавать вдвое меньше кол-ва отводов фильтра.
    Для первого каскада (самого длинного) выделена глубина fifo 128 семплов. Поэтому максимальная длина фильтра для данного буфера составляет 256 отводов. Максимальная длина симметричного фазолинейного фильтра при этом будет 253 (увеличить можно только на 4 отвода, тогда получится 257, что не поместится в буфере).
    Для остальных каскадов, а так же для выходного буфера глубина fifo задана 64 семпла.
    Общий блок ОЗУ имеет объем 512 семплов. Поэтому в нем занято 128 + 64 + 64 + 64 + 64 на фильтры и еще 64 на выходной буфер. Всего 448 семплов. Еще 64 слова памяти остаются не использованы.

    Адресация к блокам fifo внутри общего блока ОЗУ организована через смещения: старшие биты адреса задают адрес fifo буфера, а младшие - позицию внутри данного fifo буфера.
    [свернуть]


    Mac_Wx9

    Модуль Mac_Wx9 - собственно тот модуль который выполняет умножение с накоплением входных отсчетов из fifo на коэффициенты из блока памяти coef_rom. По результату моделирования фильтров в матлабе выяснено, что для заданных хар-к фильтра оптимальная разрядность коэффициентов составляет порядка 26..28 бит.
    Исходя из тактовой частоты 1024Fs выбрано максимально допустимое кол-во тактов умножителя на одно умножение: 3 такта. Это значит, что каждые три такта на входы умножителя подается новый семпл данных и новый коэффициент. При этом, на каждом такте выполняется умножение на 1/3 слова коэффициентов.
    Исходя из этого, разрядность коэф-тов выбрана 27 бит, как удобная с точки зрения построения умножителя (делится на три), так и с точки зрения достаточной точности вычислений. Так же 27 бит коэффициенты хорошо ложатся в выделенные блоки памяти, разрядность которых кратна 9 разрядам.
    Поэтому для данного проекта коэффициенты предварительно разбираются на 9 бит слова (для этого написана консольная утилита, которая из матлабовского файла коэффициентов создает верилоговский файл с коэффициентами в нужном формате).
    Для максимальной производительности арифметики модуль mac выполняет умножения без пропусков тактов (каждые три такта - новое умножение), пока не будут обсчитаны все х2 каскады фильтра.
    Также для оптимизации арифметики используется свойство симметрии коэффициентов фильтра. Если используются фазолинейный фильтр четного порядка, то в расчете каждого семпла выполняется умножение одного и того же коэффициента на два разных семпла данных.
    Это обстоятельство позволяет в свертке заменить выражение d1*c + d2*c выражением (d1 + d2)*c, вдвое сокращая кол-во умножений. Но в то же время, такая арифметика требует чтения двух семплов на каждое умножение (каждый семпл читается за один такт clk).
    Т.к. умножение выполняется за 3 такта, а чтение данных - за 2, то каждый 3-ий такт ОЗУ доступно для чтения результата из выходного буфера fifo.
    Учитывая кол-во тактов умножителя, синхронизация разных модулей фильтра осуществляется посредством счетчика syncnt внутри модуля DF1_FIR_CORE, который постоянно считает по циклу от нуля до двух.
    [свернуть]


    data_write

    Записью данных в fifo буфер управляет модуль data_write. Данный модуль принимает сигналы на запись данных от входного модуля sai_input (когда приняты данные SAI_input модуля) и от мака mac_control (когда на выходе мака готов очередной семпл).
    Для максимальной производительности арифметики мак работает без остановок, поэтому если оба сигнала приходят одновременно, то мак имеет более высокий приоритет (данные от модуля SAI_input запишутся после записи нового семпла из мака).
    Позиции актуальных адресов fifo хранятся в регистрах-счетчиках: currpos_st1...currpos_st6. При записи каждого нового семпла в буфер выполняется инкремент соответствующего счетчика.
    При этом, если выполняется запись данных от входного модуля, то data_write генерирует сигнал start_mac -> write_fir_start, который запускает конечный автомат управляющий арифметикой fir фильтра.
    [свернуть]


    init_adr data_adr

    Стартует алгоритм арифметики с модуля init_adr, который подготавливает данные для инициализации автомата генерации адресов интерполяторов (data_adr):
    - длина первого lenth1 и второго lenth2 прохода фильтра для данного каскада фильтра
    - кол-во повторов прохода: repeatnum
    - номер каскада, с которого начинается старт арифметики. Для х32 режима это 5-ый каскад, для х16 - 4-ый и т.д.
    - текущую позицию буфера fifo для данного каскада: curr_pos
    - номер текущего каскада х2 интерполятора: stage_num
    Модуль data_adr принимает инициализирующие значения от init_adr и генерирует адреса для заданного каскада фильтра (для расчета свертки х2 интерполятора). Так же модуль data_adr инициализирует генератор адресов коэффициентов coef_adr.
    По завершении генерации адресов data_adr генерирует сигнал next_stage обратно в модуль init_adr.
    Приняв этот сигнал init_adr готовит на свой выход данные инициализации для расчета следующего х2 каскада интерполятора.
    Обмен сигналами между модулями повторяется, пока не будут обсчитаны все каскады фильтра.
    [свернуть]


    data_read

    Модуль data_read является промежуточным модулем между fir фильтром и модулем вывода данных. По сигналу out_load от модуля SAI_output, модуль data_read запускает алгоритм чтения данных из выходного fifo буфера.
    Для этого, модуль data_read анализирует значение счетчика syncnt, и в момент когда ОЗУ свободна (нет чтения данных для мака) - выполняет чтение из буфера. Данные в новом семпле округляются с ноизшейпингом и проверяются на переполнение.
    [свернуть]


    coef_control


    Коэффициенты DF1 рассчитывались в matlab r2013b -> FDA tool -> FIR
    Для первого каскада (для самой низкой входной частоты дискретизации 44,1/48кГц) использован простой fir фильтр максимальной длины с Equiripple оптимизацией с повышенным ослаблением на частоте Найквиста. Для остальных каскадов использованы half-band фильтры.
    При повышении входной частоты дискретизации производительность фильтра пропорционально снижается, поэтому для первого каскада добавлены дополнительные наборы коэффициентов более коротких полуполосных фильтров.
    Управление наборами коэффициентов в зависимости от входной и выходной ЧД, а так же от режима lp_mode описано в файле coef_control внутри которого вызывается модуль rom_coef_control.
    Заголовок файла rom_coef_control содержит описание расчета максимального кол-ва тактов умножения для соотношения входной и выходной ЧД, исходя из которого выбирается набор коэффициентов для первого каскада интерполятора фильтра.
    [свернуть]


    Коэффициенты

    DF1 использует схему знакового умножителя в дополнительных кодах. Поэтому коэффициенты в ROM фильтра так же описаны в дополнительных кодах разрядностью 27бит (по три 9 бит слова на каждый коэффициент).
    Фактически свертка fir фильтра состоит из суммы частных (т.е. делений). Однако, т.к. арифметика деления сложнее умножений, то для замены частных значений произведениями коэффициенты переводятся в дробные значения. Т.е. выражение d/4 заменяется выражением d*0.25.
    Поэтому значения коэффициентов нормированы к единице: старший разряд дополнительного кода кодирует знак, в следующем разряде - единица, остальное дробная часть.
    Для 8 бит кода +1 выглядит так 0х40, минус один - 0хС0 (в отличие от целого числа, где минус единица это 0хFF).
    Но, если самый большой положительный коэффициент фильтра имеет значение меньше единицы, то второй бит слева всегда равен нулю. А значит можно увеличить разрядность коэффициентов на один бит без переполнения разрядной сетки.
    Matlab по умолчанию выполняет данную операцию автоматически, для максимального использования разрядной сетки коэффициентов: задает numerator range 0.5. Это значит что вес следующего разряда после знака не единица, а 0.5.
    Но в случае полуполосного фильтра максимальный (центральный) коэффициент равен единице. Поэтому matlab задает для него numerator range 1.
    Если ЦФ использует в своем составе оба типа фильтра (полуполосный и обычный), то при таком расчете получается разница размерностей коэффициентов, что не допустимо. Возникает проблема выравнивания размерностей.
    Способы решения данной проблемы:
    1. Использовать для коэффициентов неполуполосного фильтра диапазон 1 (но ухудшится точность для данного фильтра на один разряд).
    2. Задать для полуполосного фильтра размерность 0.5. Тогда matlab увеличит разрядность коэффициентов на бит, а для центрального отсчета единицу 0x40 заменит значением вдвое большим - 0x7F, чтобы получить единицу, но не выйти за пределы разрядной сетки. Но такое значение получается не ровно вдвое больше, а с погрешностью в -1LSB. К тому же, большое кол-во единиц в слове коэффициента означает большое кол-во суммирований в умножителе, что хуже с точки зрения потребления и помех.
    3. Решение использованное в DF1. Для максимального использования разрядной сетки диапазон для всех типов фильтра задается 0.5. А для полуполосного фильтра значение центрального коэф-та задается вдвое меньшим, т.е. вместо единицы - 0.5, чтобы не выйти из разрядной сетки.
    Как описано выше, умножитель имеет предварительный сумматор для суммирования двух семплов перед умножением на коэффициент. Чтобы компенсировать вдвое меньший центральный коэффициент для полуполосного фильтра значение семпла данных суммируется само с собой, что эквивалентно умножению на два. Т.е. выражение d*1 заменяется выражением (d+d)*0.5.
    Таким образом выполняется максимальное использование разрядной сетки коэффициентов с минимальным кол-вом суммирований и почти без дополнительных затрат логики.
    [свернуть]


    Конфигурация проекта


    Конфигурация проекта под разные FPGA реализуется через файл config.v, который содержит настройки условной компиляции.

    Выбор стиля описания логики. Нужен для оптимизации логики под fpga lattice или под альтеру.
    //`define LOGIC_STYLE_ALTERA
    `define LOGIC_STYLE_LATTICE

    // define RAM block zise for selected device - Выбор размера выделенных блоков памяти. Используется для оптимизации расхода блоков памяти.
    //`define BLOCK_RAM_SIZE_4K
    `define BLOCK_RAM_SIZE_9K

    // define FIR bus resolution (in bits). Valid values: from 26 to 36. - Выбор разрядности шины данных. Задает разрядность шины с учетом запаса в 1 бит на переполнение. Значение 31 бит означает разрядность данных на входе 30 бит.
    `define BUS_WIDTH 31 // Must be lower or equal ACC_WIDTH !!!!!
    Данное значение разрядности можно понижать, но для исключения накопления ошибок округления рекомендуется при этом включать дизеринг мака.

    // define accumulator bus resolution (in bits). Valid values: from 32 to 42. - Выбор разрядности аккумулятора. Разрядность так же можно понижать, но с включением дизеринга.
    `define ACC_WIDTH 37 // Must be grater or equal BUS_WIDTH !!!!!

    // MAC dithering signed random value length in bits. Valid values: from 2 to 8 - разрядность дизеринга при округдении в маке. Нуль - означает выключен.
    // Zero value switch dithering off.
    `define MAC_DITH_WIDTH 0

    // Enable dedicated FPGA multipplier - Включает описание умножителей для подключения выделенных блоков умножения, при их наличии в выбранной FPGA.
    //`define MULT_DEDICATED_ENA

    // select attenuate module: input (logic based), or coefficient (coef_ROM based) - Выбор построения входного аттенюатора: коэффициентами или входным аттенюатором.
    `define INPUT_ATT_ENA
    //`define COEF_ATT_ENA
    Аттенюация коэффициентами расходует дополнительные блоки памяти на коэффициенты (в 4 раза больше), но экономит эчейки, т.к. не использует логику на входном аттенюаторе.
    Аттенюатор во входном модуле предпочтительнее с точки зрения точности арифметики, т.к. Аттенюация коэффициентами, хоть и не значительно, но понижает разрядность коэффициентов (пропорционально уровню ослабления).

    // Input attenuator dithering signed random value length in bits. Valid values: from 2 to 8 - Задает уровень дизеринга во входном аттенюаторе. Используется при включении аттенюатора и пониженной разрядности шины данных. Нуль - значит выключен.
    // Zero value switch dithering off.
    `define ATT_DITH_WIDTH 0
    [свернуть]
    Вложения Вложения
    Последний раз редактировалось dortonyan; 15.11.2021 в 12:28.

  2. #201
    Завсегдатай Аватар для Михаил45
    Регистрация
    09.03.2007
    Адрес
    Санкт-Петербург
    Возраст
    62
    Сообщений
    2,122

    По умолчанию Re: Проектируем цифровой фильтр для ЦАП 2

    Володя, странно, может ты где переопределил вывод?

  3. #202
    Завсегдатай Аватар для Turbo_man
    Регистрация
    06.04.2010
    Адрес
    Moscow
    Сообщений
    5,592

    По умолчанию Re: Проектируем цифровой фильтр для ЦАП 2

    Пытаюсь разобраться теперь в настройках своего проекта.

    ---------- Сообщение добавлено 18:44 ---------- Предыдущее сообщение было 18:40 ----------

    Попробуй ты в моём проекте заменить определения.
    Будет ошибка?

  4. #203
    Завсегдатай Аватар для Михаил45
    Регистрация
    09.03.2007
    Адрес
    Санкт-Петербург
    Возраст
    62
    Сообщений
    2,122

    По умолчанию Re: Проектируем цифровой фильтр для ЦАП 2

    Володя, скомпилировал. Нет ошибки.
    Миниатюры Миниатюры Нажмите на изображение для увеличения. 

Название:	Володин проект скомпилирован.jpg 
Просмотров:	70 
Размер:	280.9 Кб 
ID:	419004  
    Последний раз редактировалось Михаил45; 15.03.2022 в 19:26.

  5. #204
    Завсегдатай
    Автор темы
    Аватар для dortonyan
    Регистрация
    03.06.2009
    Адрес
    BLR
    Возраст
    38
    Сообщений
    3,336

    По умолчанию Re: Проектируем цифровой фильтр для ЦАП 2

    Цитата Сообщение от Turbo_man Посмотреть сообщение
    Кстати да, и у меня не работает такое определение:
    Код:
    //input[1:0] ovs_max, // max oversampling 0..3 - x4..x32
    wire[1:0] ovs_max = 3, // max oversampling 0..3 - x4..x32
    Ругается:
    Error (10170): Verilog HDL syntax error at df1.v(24) near text "wire"; expecting a direction
    Тип "input" может определяться только внутри скопа портов проекта.
    А тип "wire" нужно выносить ниже (вне скопа, там где перечисляются переменные "reg"), и вконце строки соот-но ";".
    Turbo_man, почитайте брошюрку verilogLessons из шапки, там все это описано.

    Расход ресурсов и иерархию проекта удобнее смотреть в окне проекта:
    Нажмите на изображение для увеличения. 

Название:	Quartus_classic_resources.png 
Просмотров:	92 
Размер:	99.0 Кб 
ID:	419005

  6. #205
    Завсегдатай Аватар для Turbo_man
    Регистрация
    06.04.2010
    Адрес
    Moscow
    Сообщений
    5,592

    По умолчанию Re: Проектируем цифровой фильтр для ЦАП 2

    Михаил45 и dortonyan, спасибо.

  7. #206
    Завсегдатай Аватар для Михаил45
    Регистрация
    09.03.2007
    Адрес
    Санкт-Петербург
    Возраст
    62
    Сообщений
    2,122

    По умолчанию Re: Проектируем цифровой фильтр для ЦАП 2

    Володя, в твоем проекте из зипа так:

    // FIR filter config
    input lp_mode, // 1 - enable low power mode
    input[1:0] att, // attenuation input signal: 0 - 0db, 1 - 1db, 2 - 2db, 3 - 3db
    input[1:0] ovs_max, // max oversampling 0..3 - x4..x32
    //wire[1:0] ovs_max = 2, // max oversampling 0..3 - x4..x32

    Поэтому компилируется.
    В моем по другому, как пишет Алексей.

  8. #207
    Завсегдатай Аватар для Turbo_man
    Регистрация
    06.04.2010
    Адрес
    Moscow
    Сообщений
    5,592

    По умолчанию Re: Проектируем цифровой фильтр для ЦАП 2

    Алексей, индикация режима bypass есть (вижу), а как его включить я не нашёл.
    А также не понял, как переключать 4 режима первой ступени ЦФ и 2 режима 5-й ступени. Или они автоматически переключаются при разных кратностях входного потока?

    Кстати, кристалл CM6631 выдаёт сигнал с 128-битклоковым фреймом (если не путаю). Это нестрашно для ЦФ?
    Последний раз редактировалось Turbo_man; 21.03.2022 в 00:33.

  9. #208
    Завсегдатай
    Автор темы
    Аватар для dortonyan
    Регистрация
    03.06.2009
    Адрес
    BLR
    Возраст
    38
    Сообщений
    3,336

    По умолчанию Re: Проектируем цифровой фильтр для ЦАП 2

    Цитата Сообщение от Turbo_man Посмотреть сообщение
    Алексей, индикация режима bypass есть (вижу), а как его включить я не нашёл.
    Байпас у меня только автоматический. Включается когда входная ЧД равна выходной. Данные при этом умножаются на единичный коэф-т. Если полистаете файлы с коэф-тами, то найдете там помимо коэф-тов каскадов еще и коэф-ты для байпаса.

    Есть еще момент, касательно асинхронных данных на входе ЦФ: каждый цикл интерполяции инициируется входным модулем (SAI_input), когда тот примет очередной семпл. Поэтому если входная ЧД не синхронизирована с мастерклоком, то работа ЦФ ломается.
    Такой алгоритм сделан для максимальной экономии ресурсов, из расчета что асинхронный режим в нормальном ЦАПе все равно никто не использует.
    Решается проблема односемпловым фифо, т.е. буферными регистрами между SAI_input и DF1_FIR_CORE, с синхронизацией этих буферов с мастеклоком. Т.е. сигнал старта интерполятора должен в таком случае генерироваться не входным модулем, а буфером по счетчику от мастерклока.
    Тогда при рассинхроне и входной ЧД входные семплы будут либо периодически пропускаться, либо повторяться, в зав-ти от знака рассинхрона.

    Цитата Сообщение от Turbo_man Посмотреть сообщение
    А также не понял, как переключать 4 режима первой ступени ЦФ и 2 режима 5-й ступени. Или они автоматически переключаются при разных кратностях входного потока?
    Да, все автоматом переключается таким образом чтобы обеспечить максимальную производительность (когда lp_mode = 0), или для минимального потребления (когда lp_mode = 1). Выбор фильтров описан в файле coef_control в виде большой таблицы истинности.
    Такое описание неудобно для читаемости, но зато лучше всего оптимизируется компилятором. Изначально я описывал этот функционал условными операторами, модуль занял под две сотни ячеек. Так и не разобрался в чем там дело, переписал таблицей и модуль ужался до 15 ячеек.

    Цитата Сообщение от Turbo_man Посмотреть сообщение
    Кстати, кристалл CM6631 выдаёт сигнал с 128-битклоковым фреймом (если не путаю). Это нестрашно для ЦФ?
    Для ядра ЦФ не страшно, там на входе параллельная шина. А вот модуль SAI_input будет работать только с заданной длинной фрейма от 32-х до 64-х бит.

  10. #209
    Завсегдатай Аватар для Turbo_man
    Регистрация
    06.04.2010
    Адрес
    Moscow
    Сообщений
    5,592

    По умолчанию Re: Проектируем цифровой фильтр для ЦАП 2

    Спасибо за ответ.

    Цитата Сообщение от dortonyan Посмотреть сообщение
    Решается проблема односемпловым фифо, т.е. буферными регистрами между SAI_input и DF1_FIR_CORE, с синхронизацией этих буферов с мастеклоком.
    А не входным LRCK должна быть синхронизация? Т.е. ФИФО обновляется входным сигналом. А считывается из него синхронно MCLK.
    Но это неактуально для меня, т.к. уже есть полноценный ФИФО для SPDIF-а и Toslink-а.

  11. #210
    Завсегдатай
    Автор темы
    Аватар для dortonyan
    Регистрация
    03.06.2009
    Адрес
    BLR
    Возраст
    38
    Сообщений
    3,336

    По умолчанию Re: Проектируем цифровой фильтр для ЦАП 2

    Цитата Сообщение от Turbo_man Посмотреть сообщение
    Т.е. ФИФО обновляется входным сигналом. А считывается из него синхронно MCLK.
    Я это и имел в виду. Т.е. сейчас интерполятор стартует по записи в буфер, а нужно чтобы стартовал по чтению. Но для этого нужно добавлять еще один промежуточный буфер.

  12. #211
    Завсегдатай Аватар для Turbo_man
    Регистрация
    06.04.2010
    Адрес
    Moscow
    Сообщений
    5,592

    По умолчанию Re: Проектируем цифровой фильтр для ЦАП 2

    Промежуточный итог такой:
    -частота входного потока определяется неверно (полагаю косяк у Алексея). Вместо 44 показывает 88 и все частоты как бы удваивает.
    -нормальный звук идёт только при сильном цифровом ослаблении, иначе шум-хрип. Как будто неправильный входной формат шины. Но тут может и я сам накосячил.
    Продолжаю допиливание.

    Нажмите на изображение для увеличения. 

Название:	df+conv.jpg 
Просмотров:	124 
Размер:	626.4 Кб 
ID:	419330

  13. #212
    Завсегдатай
    Автор темы
    Аватар для dortonyan
    Регистрация
    03.06.2009
    Адрес
    BLR
    Возраст
    38
    Сообщений
    3,336

    По умолчанию Re: Проектируем цифровой фильтр для ЦАП 2

    Цитата Сообщение от Turbo_man Посмотреть сообщение
    -частота входного потока определяется неверно (полагаю косяк у Алексея). Вместо 44 показывает 88 и все частоты как бы удваивает.
    У меня все работает корректно. Лог. уровни не попутали? Из модуля DF1_FIR_CORE сигнал выходит с активным в единице, т.е. 44 будет 000, 88 - 100, 176 - 101 и т.д.
    А на выходе плисины из опубликованного проекта эта шина инвертирована (активный низкий). Т.е. на 44 будет 111, 88 - 110, 176 - 101 и т.д.

    Цитата Сообщение от Turbo_man Посмотреть сообщение
    -нормальный звук идёт только при сильном цифровом ослаблении, иначе шум-хрип. Как будто неправильный входной формат шины. Но тут может и я сам накосячил.
    Сильное это какое?
    Не забудьте, что на входе в DF1_FIR_CORE сигнал должен быть ослаблен на 6 дБ (один сдвиг вправо), т.к. старший бит используется для отработки переполнения.

    Попробуйте сначала запустить проект целиком как есть, в синхронном режиме, напрямую от транспорта. Чтобы убедиться что в опубликованном варианте нет косяков. Тогда будет понятно где искать ошибку.

  14. #213
    Завсегдатай Аватар для Turbo_man
    Регистрация
    06.04.2010
    Адрес
    Moscow
    Сообщений
    5,592

    По умолчанию Re: Проектируем цифровой фильтр для ЦАП 2

    Цитата Сообщение от dortonyan Посмотреть сообщение
    Лог. уровни не попутали? Из модуля DF1_FIR_CORE сигнал выходит с активным в единице
    Пока внутрь DF1 не лез. Использую только DF1 целиком.
    Цитата Сообщение от dortonyan Посмотреть сообщение
    на выходе плисины из опубликованного проекта эта шина инвертирована (активный низкий). Т.е. на 44 будет 111, 88 - 110, 176 - 101 и т.д.
    Я это видел, конечно.

    Нажмите на изображение для увеличения. 

Название:	freq.png 
Просмотров:	95 
Размер:	73.1 Кб 
ID:	419331

    ---------- Сообщение добавлено 17:37 ---------- Предыдущее сообщение было 17:36 ----------

    Цитата Сообщение от dortonyan Посмотреть сообщение
    Попробуйте сначала запустить проект целиком как есть, в синхронном режиме, напрямую от транспорта.
    Запускал, но без индикации частоты. Сейчас попробую вернуть голый DF1 с LEDиками.

    ---------- Сообщение добавлено 17:42 ---------- Предыдущее сообщение было 17:37 ----------

    Цитата Сообщение от dortonyan Посмотреть сообщение
    Сильное это какое?
    В медиа-плеере выставляю уровень цифрового РГ: 3 из 32 возможных (0...31). Что это за "попугаи" я не знаю.

  15. #214
    Завсегдатай
    Автор темы
    Аватар для dortonyan
    Регистрация
    03.06.2009
    Адрес
    BLR
    Возраст
    38
    Сообщений
    3,336

    По умолчанию Re: Проектируем цифровой фильтр для ЦАП 2

    Цитата Сообщение от Turbo_man Посмотреть сообщение
    В медиа-плеере выставляю уровень цифрового РГ: 3 из 32 возможных (0...31). Что это за "попугаи" я не знаю.
    Понятно, ну тогда скорее всего какой-то касяк с выравниванием данных на входе ЦФ. Судя по описанным симптомам, похоже как будто входные данные выровнены влево, а ЦФ настроен на прием с выравниванием вправо.

  16. #215
    Завсегдатай Аватар для Turbo_man
    Регистрация
    06.04.2010
    Адрес
    Moscow
    Сообщений
    5,592

    По умолчанию Re: Проектируем цифровой фильтр для ЦАП 2

    Цитата Сообщение от dortonyan Посмотреть сообщение
    Попробуйте сначала запустить проект целиком как есть, в синхронном режиме, напрямую от транспорта. Чтобы убедиться что в опубликованном варианте нет косяков. Тогда будет понятно где искать ошибку.
    Ничего не дало. Частота неправильно определяется.
    Пробую искать ещё.

  17. #216
    Завсегдатай
    Автор темы
    Аватар для dortonyan
    Регистрация
    03.06.2009
    Адрес
    BLR
    Возраст
    38
    Сообщений
    3,336

    По умолчанию Re: Проектируем цифровой фильтр для ЦАП 2

    А какая частота мастерклока на входе clk? Если 512Fs, то ЦФ при 44,1 на входе будет думать, что у него 88,2.

  18. #217
    Завсегдатай Аватар для Turbo_man
    Регистрация
    06.04.2010
    Адрес
    Moscow
    Сообщений
    5,592

    По умолчанию Re: Проектируем цифровой фильтр для ЦАП 2

    1024Fs. 45.1584/49.152 МГц.

    ---------- Сообщение добавлено 21:05 ---------- Предыдущее сообщение было 20:34 ----------

    Запел ваш чистый вариант, но частотомер врёт по-прежнему.

    ---------- Сообщение добавлено 21:06 ---------- Предыдущее сообщение было 21:05 ----------

    Запустил вашим конвейером 2шт. TDA1541, только добавил ещё выходы для ещё 2х тдашек параллельно.
    Могу проект этот выложить. Надо?

  19. #218
    Завсегдатай Аватар для Михаил45
    Регистрация
    09.03.2007
    Адрес
    Санкт-Петербург
    Возраст
    62
    Сообщений
    2,122

    По умолчанию Re: Проектируем цифровой фильтр для ЦАП 2

    Хорошо, что заработал у тебя!

  20. #219
    Завсегдатай
    Автор темы
    Аватар для dortonyan
    Регистрация
    03.06.2009
    Адрес
    BLR
    Возраст
    38
    Сообщений
    3,336

    По умолчанию Re: Проектируем цифровой фильтр для ЦАП 2

    Цитата Сообщение от Turbo_man Посмотреть сообщение
    Запел ваш чистый вариант, но частотомер врёт по-прежнему.
    Странно. А как вы определяете что врет? Можете описать где что меряете?
    Просто я же себе заливал этот же проект, только в другую плисину. Там все работает корректно.

  21. #220
    Завсегдатай Аватар для Turbo_man
    Регистрация
    06.04.2010
    Адрес
    Moscow
    Сообщений
    5,592

    По умолчанию Re: Проектируем цифровой фильтр для ЦАП 2

    Сейчас на выходах ovs[2..0]=110 при частоте 44кГц. Проект только ваш.

    ---------- Сообщение добавлено 21:23 ---------- Предыдущее сообщение было 21:20 ----------

    Все мои инверторы убраны. При 192кГц выходы ovs=100. При 96кГц = 101.

Страница 11 из 33 Первая ... 91011121321 ... Последняя

Социальные закладки

Социальные закладки

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •