Страница 6 из 82 Первая ... 4567816 ... Последняя
Показано с 101 по 120 из 1626

Тема: ЦАП своими руками (третья ветка)

  1. #1 Показать/скрыть первое сообщение.
    Регистрация не подтверждена
    Автор темы
    Аватар для Kompros
    Регистрация
    11.03.2003
    Сообщений
    1,405

    По умолчанию ЦАП своими руками (третья ветка)

    Продолжаем.

    Предистория:
    Первая ветка и ее окончание
    Вторая ветка и ее окончание

    Полезности:
    Схемы генераторов: application-notes.pdf

    Ветка закрыта.
    Продолжение здесь
    Последний раз редактировалось Kompros; 19.07.2009 в 23:55.

  2. #101
    Новичок
    Регистрация
    24.10.2006
    Сообщений
    4

    По умолчанию Re: ЦАП своими руками (третья ветка)

    Понимаю что не в тему но спрошу есть ли понельки для микросхем в корпусах SSOP ? и где их приобрести

  3. #102
    Завсегдатай Аватар для Ромыч
    Регистрация
    30.11.2004
    Адрес
    Новосибирск
    Возраст
    45
    Сообщений
    3,694

    По умолчанию Re: ЦАП своими руками (третья ветка)

    Цитата Сообщение от Maniac Посмотреть сообщение
    Не. Нормально вполне. Подтягивать выходы ЦАП резиками не надо. Ухудшишь режим работы модуляторов цапа. Если бороться с постоянкой, то только двумя способами: балансировка выходного опера или кондер.
    Я лично забил на постоянку, у меня там все равно фильтр все отсекает. И мой усилок имеет интегратор, способный "сожрать" +- 100мВ.
    Поясни плиз, чем тут ухудшается режим работы модуляторов? Резисторы цепляются к максимально "чистой" точке питания - к плюсовому выводу фильтрующего +5В кандера (BG FK 47 мкф), и через них протекает "лишняя" часть тока покоя модуляторов (0,03 мА из 1 мА). А модулятору принципиально пофиг, куда "потечет" его ток - на +5В или на операционник.
    У каждого мужчины должна быть своя Муза, у каждой женщины - свой Музык.

  4. #103
    Старый знакомый Аватар для Lexus
    Регистрация
    28.08.2005
    Адрес
    г. Апатиты Мурманская область
    Возраст
    42
    Сообщений
    761

    По умолчанию Re: ЦАП своими руками (третья ветка)

    Приветствую всех!
    Никто мне не подскажет по такому вопросу? Задумался о приобретении ЦФ SM5847, останавливает только одно – теоретически возможные проблемы при пересинхронизации данных перед микросхемами ЦАП в виду наличия приличных задержек/опережений выходных сигналов BCLK и WCLK относительно друг друга. Вроде бы, появилось разумное решение – сформировать новые частоты для BCLK и WCLK от тактового генератора. Но как в данном случае достичь совмещения сигналов DATA_L и DATA_R от SM5847 с новыми сигналами BCLK и WCLK? Я так понимаю, что, например, при «сбросе» ЦФ какое-то время сигналы на его выходе появляться не будут, но в это же время BCLK и WCLK будут продолжать генерироваться. Подозреваю, что в таком случае положение сигналов BCLK и WCLK относительно DATA_L и DATA_R будет неверное, со всеми вытекающими последствиями. Аналогичная ситуация, как понимаю, возможна и при включении схемы и транспорта.
    Существует ли вариант решения данной проблемы без добавления в схему ПЛИС?

  5. #104
    Завсегдатай Аватар для Eugene Balakin
    Регистрация
    24.12.2004
    Адрес
    Киев
    Возраст
    59
    Сообщений
    1,595

    По умолчанию Re: ЦАП своими руками (третья ветка)

    Цитата Сообщение от Lexus Посмотреть сообщение
    останавливает только одно – теоретически возможные проблемы
    ИМХО - проблемы нужно решать по мере поступления - умозрительные проблемы - это - типа- горе от ума Никаких таких проблем на практике нет - все пресинхронизируется на ура в синхроннной системе - это совершенно неразумно ИМХо - решать несуществующие проблемы
    С уважением
    Евгений Балакин

  6. #105
    Аудиоманьяк Аватар для Audiomaniac
    Регистрация
    13.08.2006
    Адрес
    Омск
    Сообщений
    6,619

    По умолчанию Re: ЦАП своими руками (третья ветка)

    Lexus,

    Пересинхронизировать только WCLK.

  7. #106
    Старый знакомый Аватар для Lexus
    Регистрация
    28.08.2005
    Адрес
    г. Апатиты Мурманская область
    Возраст
    42
    Сообщений
    761

    По умолчанию Re: ЦАП своими руками (третья ветка)

    Цитата Сообщение от Eugene Balakin Посмотреть сообщение
    ИМХО - проблемы нужно решать по мере поступления - умозрительные проблемы - это - типа- горе от ума
    Как уже кто-то говорил: "Лучше горе от ума, нежели от его отсутствия". Просто мне не хочется спроектировать четырехслойную плату, которая недешево стОит, чтобы потом выявить безграмотные ошибки в схемотехнике и "перепахать" заводскую плату.

    Цитата Сообщение от Eugene Balakin Посмотреть сообщение
    Никаких таких проблем на практике нет - все пресинхронизируется на ура в синхроннной системе - это совершенно неразумно ИМХо - решать несуществующие проблемы
    Раз так, то хорошо.

    Цитата Сообщение от Audiomaniac Посмотреть сообщение
    Пересинхронизировать только WCLK.
    Я думал об этом. Наверное, следует предусмотреть такой вариант.

    Большое всем спасибо!

    Добавлено через 3 минуты
    Да, еще хотел спросить. У SM5847 действительно такой нестандартный шаг выводов - 0.8 мм?
    Последний раз редактировалось Lexus; 28.01.2007 в 17:48. Причина: Добавлено сообщение

  8. #107
    Завсегдатай Аватар для Eugene Balakin
    Регистрация
    24.12.2004
    Адрес
    Киев
    Возраст
    59
    Сообщений
    1,595

    По умолчанию Re: ЦАП своими руками (третья ветка)

    Цитата Сообщение от Lexus Посмотреть сообщение
    У SM5847 действительно такой нестандартный шаг выводов - 0.8 мм?
    У этой микрули СТАНДАРТНЫЙ корпус, и называется он 44-pin plastic QFP - присуствует в бибилиотеке любого нормального трассировщика.
    С уважением
    Евгений Балакин

  9. #108
    Особо опасный рецидивист Аватар для belka
    Регистрация
    03.01.2005
    Адрес
    Евродупло
    Сообщений
    3,896

    По умолчанию Re: ЦАП своими руками (третья ветка)

    Цитата Сообщение от Lexus Посмотреть сообщение
    Как уже кто-то говорил: "Лучше горе от ума, нежели от его отсутствия". Просто мне не хочется спроектировать четырехслойную плату, которая недешево стОит, чтобы потом выявить безграмотные ошибки в схемотехнике и "перепахать" заводскую плату.
    Надо делать макеты , прежде чем что-либо заказывать на производстве . Ничего не придётся "пахать"
    Хай-эндЪ не терпит суеты.

  10. #109
    Завсегдатай Аватар для SergioT
    Регистрация
    02.12.2004
    Адрес
    Сан-Марино
    Сообщений
    1,458

    По умолчанию Re: ЦАП своими руками (третья ветка)

    Цитата Сообщение от Lexus Посмотреть сообщение
    Приветствую всех!
    Никто мне не подскажет по такому вопросу? Задумался о приобретении ЦФ SM5847, останавливает только одно – теоретически возможные проблемы при пересинхронизации данных перед микросхемами ЦАП в виду наличия приличных задержек/опережений выходных сигналов BCLK и WCLK относительно друг друга. Вроде бы, появилось разумное решение – сформировать новые частоты для BCLK и WCLK от тактового генератора. Но как в данном случае достичь совмещения сигналов DATA_L и DATA_R от SM5847 с новыми сигналами BCLK и WCLK? Я так понимаю, что, например, при «сбросе» ЦФ какое-то время сигналы на его выходе появляться не будут, но в это же время BCLK и WCLK будут продолжать генерироваться. Подозреваю, что в таком случае положение сигналов BCLK и WCLK относительно DATA_L и DATA_R будет неверное, со всеми вытекающими последствиями. Аналогичная ситуация, как понимаю, возможна и при включении схемы и транспорта.
    Существует ли вариант решения данной проблемы без добавления в схему ПЛИС?
    Проблема высосана из пальца, для подстраховки можно предусмотреть возможность инверсии такта кот. поступает на регистр реклока и все.

    Добавлено через 40 секунд
    Цитата Сообщение от Lexus Посмотреть сообщение
    Приветствую всех!
    Никто мне не подскажет по такому вопросу? Задумался о приобретении ЦФ SM5847, останавливает только одно – теоретически возможные проблемы при пересинхронизации данных перед микросхемами ЦАП в виду наличия приличных задержек/опережений выходных сигналов BCLK и WCLK относительно друг друга. Вроде бы, появилось разумное решение – сформировать новые частоты для BCLK и WCLK от тактового генератора. Но как в данном случае достичь совмещения сигналов DATA_L и DATA_R от SM5847 с новыми сигналами BCLK и WCLK? Я так понимаю, что, например, при «сбросе» ЦФ какое-то время сигналы на его выходе появляться не будут, но в это же время BCLK и WCLK будут продолжать генерироваться. Подозреваю, что в таком случае положение сигналов BCLK и WCLK относительно DATA_L и DATA_R будет неверное, со всеми вытекающими последствиями. Аналогичная ситуация, как понимаю, возможна и при включении схемы и транспорта.
    Существует ли вариант решения данной проблемы без добавления в схему ПЛИС?
    Эта проблема для 5847 высосана из пальца, для подстраховки можно предусмотреть возможность инверсии такта кот. поступает на регистр реклока и все.

    Добавлено через 3 минуты
    Цитата Сообщение от Eugene Balakin Посмотреть сообщение
    У этой микрули СТАНДАРТНЫЙ корпус, и называется он 44-pin plastic QFP - присуствует в бибилиотеке любого нормального трассировщика.
    При использовании посадочного места из стандартной библиотеки при ручной пайке пальником (в т.ч. от паяльной станции) будет несколько неудобно. А шаг абсолютно стандартный, просто контактные площадки надо сделать чуть длиннее чем стандартные.
    Последний раз редактировалось SergioT; 29.01.2007 в 01:47. Причина: Добавлено сообщение

  11. #110
    Регистрация не подтверждена
    Автор темы
    Аватар для Kompros
    Регистрация
    11.03.2003
    Сообщений
    1,405

    По умолчанию Re: ЦАП своими руками (третья ветка)

    Любителям S/PDIF - новинка от TI: DIR9001

  12. #111
    Особо опасный рецидивист Аватар для belka
    Регистрация
    03.01.2005
    Адрес
    Евродупло
    Сообщений
    3,896

    По умолчанию Re: ЦАП своими руками (третья ветка)

    На первый взгляд явно морально устаревший прибор ... Нет режима слэйв , или я не дочитал что то ? Или это специально для радиолюбителей сделано ?
    Хай-эндЪ не терпит суеты.

  13. #112
    Завсегдатай Аватар для aal
    Регистрация
    04.11.2004
    Адрес
    пос. Краснообск, Новосибирская область
    Возраст
    52
    Сообщений
    2,860

    По умолчанию Re: ЦАП своими руками (третья ветка)

    Low-Jitter Recovered System Clock: 50 ps
    Selectable Recovered System Clock: 128 fS, 256 fS, 384 fS, 512 fS
    No External Clock Required for Decode
    Includes Actual Sampling Frequency Calculator (Needs External 24.576-MHz Clock)
    Functions Similar and Pin Assignments Equivalent to Those of DIR1703

    Судя по странице 6 может выдавать с внешней частотой, а не востановленной. Но тогда востановленную можно отследить только по BFRAME.

    Белка так ведь большинство цапы под 44/16 лудят, так что не такая уж и устаревшая....

    И на мой взгляд: мс более интересная, чем cs8416 хотябы отсутствием фильтра деемфазиса. (как он реализован в 8416?)

  14. #113
    Завсегдатай Аватар для Eugene Balakin
    Регистрация
    24.12.2004
    Адрес
    Киев
    Возраст
    59
    Сообщений
    1,595

    По умолчанию Re: ЦАП своими руками (третья ветка)

    Цитата Сообщение от aal Посмотреть сообщение
    Судя по странице 6 может выдавать с внешней частотой, а не востановленной. Но тогда востановленную можно отследить только по BFRAME.
    Если я правильно понял - если 9001 использолвать как СПДИФ приемник, то его работа возможна только с восстановленной мастер частотой ( из СПДИФ), если выбран режим ХТИ - то выходные данные мьютируются - таблица 2.
    С уважением
    Евгений Балакин

  15. #114
    Регистрация не подтверждена
    Автор темы
    Аватар для Kompros
    Регистрация
    11.03.2003
    Сообщений
    1,405

    По умолчанию Re: ЦАП своими руками (третья ветка)

    Цитата Сообщение от Eugene Balakin Посмотреть сообщение
    если выбран режим ХТИ - то выходные данные мьютируются - таблица 2
    КГБычно.
    Судя по всему, основная задача DIR9001 - закрыть дыру в ассортименте от предыдущих DIRок.

    А "современнный" SPDIFный прибор у TI уже есть - DIX4192.

  16. #115
    Завсегдатай Аватар для Инквизитор
    Регистрация
    18.06.2004
    Адрес
    Сколково
    Сообщений
    2,006

    По умолчанию Re: ЦАП своими руками (третья ветка)

    Наконец то они отказались от уродского SPACT

  17. #116
    Завсегдатай Аватар для Роман М
    Регистрация
    31.10.2005
    Адрес
    Москва
    Возраст
    51
    Сообщений
    1,434

    По умолчанию Re: ЦАП своими руками (третья ветка)

    Цитата Сообщение от kostikSU Посмотреть сообщение
    Цитата из описательной части на 24-ку:
    " Электролитические конденсаторы, используемые для блокировки цифрового питания и питания цифровой части ЦАП – Rubycon Black Gate PK или Sanyo OS-CON SA. В фильтрах питания генератора и фильтре шума ИОН AD586 желательно применять конденсаторы Black Gate, поскольку они обладают минимальным «емкостным» шумом по сравнению с другими типами электролитических конденсаторов.
    В цепях питания аналоговой части ЦАП и ОУ желательно применять блокирующие емкости типов Black Gate FK или NX, характеризующиеся не только малым «емкостным» шумом, но и высокой степенью собственной линейности." причем BG в цифру рекомендуются первым номером, и на фото готового изделия в цифре далеко не Os-con Да и точно помню во времена 20-ки Дмитрий "ругал" BG за вносимую отсебятину, как он выражался - "теплый звук"
    На фото просто один из вариантов исполнения L24 и видимо не авторский вариант. У меня в цифре L24 стоят осконы, в аналоге BG FK, кое-где BG NX. Я бы не сказал что звук от этого "теплый". Все очень субъективно, кроме того помимо кондов есть много других факторов больше влияющих на характер звучания.

  18. #117
    Завсегдатай Аватар для Dmitry Korneev
    Регистрация
    27.11.2004
    Адрес
    Павшинская пойма
    Возраст
    46
    Сообщений
    1,518

    По умолчанию Re: ЦАП своими руками (третья ветка)

    Очень сильно влияет на звук выход, а именно схемотехника.
    С уважением Дмитрий.

  19. #118
    Завсегдатай Аватар для aal
    Регистрация
    04.11.2004
    Адрес
    пос. Краснообск, Новосибирская область
    Возраст
    52
    Сообщений
    2,860

    По умолчанию Re: ЦАП своими руками (третья ветка)

    Собрался сделать простенький девайс с PCM2706 > AD1896 > AD1866. Но так как для AD1896 MCK > 138 Fs_out. То нужно умножить частоту на 3, чтоб получить 33/36 мГц для 4х.
    Заглянул на TI & AD : нет ничего простенького. Конечно можно SN65lvds150 (F=6*Fin) > lvds32 > F/2. Но это дорого да и шумновато.
    Из аналога нашёл только AD4360-8, но софт управление + 65 МГц минимум на выходе.
    Оба эти решения под 10..15 баксов вылезут, дороговато.
    Может кто пользует дешёвый (без притензий) умножитель который можно сконфигурить перемычками для умножения на 3?

  20. #119
    Регистрация не подтверждена
    Автор темы
    Аватар для Kompros
    Регистрация
    11.03.2003
    Сообщений
    1,405

    По умолчанию Re: ЦАП своими руками (третья ветка)

    aal, как вариант - оставь вход AD1896 в слейве, а саму AD1896 и ее выход тактуй от удобного гена.

  21. #120
    Завсегдатай Аватар для aal
    Регистрация
    04.11.2004
    Адрес
    пос. Краснообск, Новосибирская область
    Возраст
    52
    Сообщений
    2,860

    По умолчанию Re: ЦАП своими руками (третья ветка)

    Да это-то понятно. Я другой вариантик прорабатываю с SM5813 (подороже но без гемора с частотой). Но тут другая заморочка: RJ вход у неё, а PCM2706 I2S только выдаёт. То бишь или ставь туже 1896 для преобразования формата или на рассыпухи сдвиг с итнверсией на 17 тактов для LRCK делай. А хочется то красиво сделать.

Страница 6 из 82 Первая ... 4567816 ... Последняя

Метки этой темы

Социальные закладки

Социальные закладки

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •