Страница 4 из 32 Первая ... 2345614 ... Последняя
Показано с 61 по 80 из 638

Тема: Дилетантский вопрос про sigma-delta

  1. #1 Показать/скрыть первое сообщение.
    Старый знакомый
    Автор темы
    Аватар для tomtit
    Регистрация
    23.06.2009
    Адрес
    пгт.Торонтовка
    Возраст
    65
    Сообщений
    960

    Вопрос Дилетантский вопрос про sigma-delta

    Нажмите на изображение для увеличения. 

Название:	sdm4-20bx256.gif 
Просмотров:	2597 
Размер:	12.4 Кб 
ID:	134944
    Вот наскоро сочинил примитивную модель однобитного сигма-дельта модулятора 4-го порядка,
    подал на вход синус 20-бит проапсэмпленный до 256*Fs.
    Смотрите что получилось ...
    Почему никто не использует столь простой подход ?
    Ищем грабли ..., учим теорию.
    Последний раз редактировалось tomtit; 29.05.2013 в 02:03.

  2. #61
    Старый знакомый
    Автор темы
    Аватар для tomtit
    Регистрация
    23.06.2009
    Адрес
    пгт.Торонтовка
    Возраст
    65
    Сообщений
    960

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    Да, каждый из резисторов участвует в каждом уровне ДАК равное время.
    Смысл ДЕМ - превратить нелинейность в широкополосный белый шум низкого уровня, который затем
    по большей части отфильтровывается.
    Последний раз редактировалось tomtit; 24.11.2011 в 06:26.

  3. #62
    Завсегдатай Аватар для shkal
    Регистрация
    30.11.2004
    Адрес
    Москва, Russia
    Возраст
    57
    Сообщений
    1,963

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    А там всегда именно шум получается при нешумовых входных сигналах? Что-то типа idle tones не возникает?

  4. #63
    Старый знакомый
    Автор темы
    Аватар для tomtit
    Регистрация
    23.06.2009
    Адрес
    пгт.Торонтовка
    Возраст
    65
    Сообщений
    960

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    Еще один этюд на тему проектирования дельта-сигма ДАК.
    Последняя идея - искать приемлимое разложение выхода 7-уровневого МАШ модулятора в систему
    из нескольких двоичных функций, удовлетворяюших двум противоречивым условиям.
    С одной стороны, сумма выходов должна дать сигнал идентичный или близкий к тому, что на выходе модулятора.
    С другой стороны, каждый из выходов должен генерировать равное и минимальное к-во переходов на код. Это
    необходимо для искоренения межкодовой интерференции и уменьшения чувствительности к джиттеру клока.

    Пока найдено приемлимое решение для 4-х бинарных выходов.
    Можно полюбоваться результатом.

    Синиий - входной синус.
    Красный - выход модулятора.
    Темно-зеленые - 4-бинарных выхода.
    Светло-зеленый - выход после суммирования.
    Два последних двоичных выхода надо брать со знаком минус.

    З.Ы. К модулятору приложен также TPDF дизеринг +-1МЗР, оверсэмплинг выбран 96х для удобной тактовой 768x44.1.
    Миниатюры Миниатюры Нажмите на изображение для увеличения. 

Название:	wave.gif 
Просмотров:	419 
Размер:	14.0 Кб 
ID:	140978   Нажмите на изображение для увеличения. 

Название:	fft3.gif 
Просмотров:	373 
Размер:	15.0 Кб 
ID:	140979  
    Последний раз редактировалось tomtit; 01.12.2011 в 19:03.

  5. #64
    Частый гость Аватар для 155ла3
    Регистрация
    28.09.2005
    Возраст
    54
    Сообщений
    188

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    Цитата Сообщение от tomtit Посмотреть сообщение
    С другой стороны, каждый из выходов должен генерировать равное и минимальное к-во переходов на код.
    По поводу минимального - получается всегда два перехода на символ...
    Можно подробнее про параметры FFT ?

  6. #65
    Старый знакомый Аватар для Electrovoicer
    Регистрация
    03.06.2009
    Адрес
    СПб
    Сообщений
    759

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    С интересом слежу за темой. Возможно, уже сейчас стоит подумать, на сколько реальная аппаратная реализация испортит данные прекрасные начинания. В частности, неидентичность токов выходов, неидеальность сумматора токов, конечная GBW ОУ в ФНЧ, кросстолки, джиттер и прочее. Сейчас мы можем оценить только совершенство той или иной модели модулятора.

  7. #66
    Частый гость Аватар для 155ла3
    Регистрация
    28.09.2005
    Возраст
    54
    Сообщений
    188

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    Цитата Сообщение от tomtit Посмотреть сообщение
    Offтопик:
    155LA3: В FFT ничего особенного. Беру окно 4х-Блакмана-Харриса.
    Тестовая частота должна быть нечетной гармоникой. Длина от 64К до 2048К
    в зависимости от конечного оверсемплинга.
    так в #64 какие параметры fft?

  8. #67
    Старый знакомый
    Автор темы
    Аватар для tomtit
    Регистрация
    23.06.2009
    Адрес
    пгт.Торонтовка
    Возраст
    65
    Сообщений
    960

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    155LA3: FFT - 524288 points.
    Electrovoicer:
    Неидеальность типа разброса Ron ключей и резисторов оценивалась и моделировалась. Особых проблем не нашел.
    Для ИУ я уже постил схему с GBW=100ГГц.
    Основные враги - опора и джиттер клока.
    Последний раз редактировалось tomtit; 03.12.2011 в 19:07.

  9. #68
    Аудиоманьяк Аватар для Audiomaniac
    Регистрация
    13.08.2006
    Адрес
    Омск
    Сообщений
    6,608

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    А когда намечены натурные испытания? Или это все просто игра ума?
    Хорошо играет тогда, когда звуки не отвлекают от музыки.
    vk.com/club44545118

  10. #69
    Регистрация не подтверждена Аватар для ZugDuk
    Регистрация
    05.08.2004
    Адрес
    Piter
    Сообщений
    4,899

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    tomtit,
    Может лучше однобитник ? Первоначальная затея ИМХО правильней была.

    Не любит аудио сложностей и модных фенечек. Чем проще подход, тем лучше результат (на слух). Даже среди однобиток выход наверное лучше в DSD, а не в PWM.

  11. #70
    Завсегдатай Аватар для dortonyan
    Регистрация
    03.06.2009
    Адрес
    BLR
    Возраст
    38
    Сообщений
    3,355

    По умолчанию Re: Дилетантский вопрос про sigma-delta


    Offтопик:
    Цитата Сообщение от ZugDuk Посмотреть сообщение
    Не любит аудио сложностей
    Сложности не любят разработчики
    Да и судя по отзывам, самые лучшие конструкции - далеко не самые простые и хорошо проработаны в теории.

  12. #71
    Старый знакомый
    Автор темы
    Аватар для tomtit
    Регистрация
    23.06.2009
    Адрес
    пгт.Торонтовка
    Возраст
    65
    Сообщений
    960

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    Дело в том, что идеологически неправильно начинать изучение принципов дельта-сигма преобразователя
    с однобитного 1-го порядка, как это делается в подавляюшем большинстве "букварей".
    Это только наводит "тень на плетень".
    На самом деле однобитные модуляторы - это предельный случай, наиболее сложный для анализа и отладки.
    Во-вторых, часто путают однобитные модуляторы с однобитными ДАКами. Например у многих МАШ
    однобитный выход, но модуляторы у МАШ в принципе всегда многобитные.
    Обяснять работу ДС лучше всего с позиций классической теории ОС.
    ДС ДАК - это модель усилителя с очень глубокой ООС и нелинейным выходным каскадом.
    Неидеальность "усилителя" легко устраняется выбором достаточной разрядности вычислений.
    Остается только задавить гармоники выходного каскада - квантайзера очень глубокой ООС.
    Первым и фундаментальным требованием для стабильности цифровой ОС является условие,
    чтобы задержка на 1 клок не создавала большого сдвига фазы на частоте единичного усиления в петле ОС.
    Это выражается в требовании, что Фс < 1/6..1/8 от частоты сэмплинга.
    То есть, для типичного оверсэмплинга х64 (стандарт DSD, SACD), мы должны сделать частоту среза ОС примерно х10, что
    значительно хуже обычного низкочастотного ОУ.
    Поэтому для эффективного подавления гармоник выходного каскада необходим очень крутой спад АЧХ,
    инеем 100-120дБ на 20кгц и 0дб на 400-500КГц.
    Вот и приходится выполнять "усилитель", как длинную цепочку интеграторов
    со сложными обратными связями.
    Во вторых, нужно принимать во внимание, что ООС - это рычаг, которым поднимают
    характеристики в небольшой полосе частот, где ОС глубокая (короткое плечо рычага), за счет значительной деградации
    в очень широкой полосе (около двух декад) вокруг частоты единичного усиления (длинное плечо рычага).
    Становится очевидной очень важная роль антиалиасингового фильтра-интерполятора на входе,
    который должен убирать ВСЕ составляюшие сигнала выше звуковых частот.
    Eсли же нормальный апсэмплинг до частоты модулятора заменен на сэмпл-холд или другой примитив для экономии бабла,
    то частота ступенек сигнала x8 как раз лежит вблизи среза ОС ...
    Отсюда ясно, что современные звуковые ДС от ТИ и АД - отстой, как я и убедился
    прослушивая их фирменные эвалюэйшн борды. Особенно гадко звучит 1793 на фирменной плате,
    сделанной в Японии и набитой аудиофильскими пленочными кондерами, мьюзами и прочей требухой
    местного производства (Аудио подразделение ТИ находится в Японии).
    Последний раз редактировалось tomtit; 10.12.2011 в 19:58.

  13. #72
    Завсегдатай Аватар для sia_2
    Регистрация
    18.07.2005
    Сообщений
    4,013

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    Совершенно верно. Теория систем с глубокой ООС одна, и SDM - классический пример.

    Offтопик:
    Я еще в 1998 году, когда делали для китайцев клон PCM1717, предложил руководству Ангстрема не ограничиваться копированием хлама, а сделать одно нормальное патентно-чистое ядро сигма-дельта ЦАП, и уже на его основе сделать пин-совместимые варианты для всех типовых ЦАП, чтобы легально торговать ими, имея бонус в виде значительно лучшего реального качества. Но уровень менеджмента на предприятии был настолько низок, что они просто не поняли, какой можно было тогда поиметь рынок (микросхемы одни из самых дорогих и в то же время весьма массовые и технологичные в производстве). Причем были и решения, позволявшие снизить энергопотребление "честного" интерполятора до приемлемых величин на КМОП процессе 0.8...1 мкм с двумя металлами, правда, ценой очень муторной - почти полностью "ручной" разработки топологии (за счет использования динамической логики/триггеров и бит-сериальной обработки). Но на тот момент топология в основном руками и рисовалась, синтез из RTL только-только начинали пытаться использовать.
    Последний раз редактировалось sia_2; 09.12.2011 в 16:54.

  14. #73
    Старый знакомый
    Автор темы
    Аватар для tomtit
    Регистрация
    23.06.2009
    Адрес
    пгт.Торонтовка
    Возраст
    65
    Сообщений
    960

    По умолчанию Re: Дилетантский вопрос про sigma-delta


    Offтопик:
    Не огорчайтесь, Сергей, уровень менеджемента везде одинаков ...
    Видимо это какой-то неизвестный закон природы.

  15. #74
    Завсегдатай Аватар для Denisius
    Регистрация
    02.02.2007
    Адрес
    ПИТЕР
    Сообщений
    1,584

    По умолчанию Re: Дилетантский вопрос про sigma-delta


    Offтопик:
    Законы природы как известные, так и не очень, они не поставлены в зависимость от территории на которую распространяют своё влияние.
    Закон - "Я манагер, Я рулю, хачу питсот тыщь и мне пох...", похоже прижился лишь в РФ...
    Касаюсь струн, держу суперсимметрию.

  16. #75
    Частый гость Аватар для Candidate
    Регистрация
    01.10.2008
    Адрес
    Сочи
    Сообщений
    356

    По умолчанию Re: Дилетантский вопрос про sigma-delta


    Offтопик:
    Думаю, менеджеры везде одинаковые (об этом, например, говорят схожие анекдоты про них в разных странах), просто в России отсутствуют ну хоть какие-то сдерживающие факторы, поэтому и имеем то, что имеем.
    С уважением, Кандидат-в-немного-звукотехники.

  17. #76
    Завсегдатай Аватар для pokos
    Регистрация
    18.08.2005
    Сообщений
    1,355

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    Цитата Сообщение от sia_2 Посмотреть сообщение
    Offтопик:
    Я еще в 1998 году, когда делали для китайцев клон PCM1717, предложил руководству Ангстрема не ограничиваться копированием хлама, ...

    Offтопик:

    В том далёком году мышление руководства "Ангстрема" было поражено убогим принципом "если у буржуев такой микросхемы нет, то нам она не нужна". Ввёл этот принцип один известный человек, бюст которого установлен на территории ВУЗа, что через дорогу от завода. Конечно, когда-то это позволяло экономить небогатый ресурс, но заведомо ставило нашу электронику в положение догоняющего.

  18. #77
    Старый знакомый Аватар для krulfa
    Регистрация
    09.06.2005
    Адрес
    Москва
    Возраст
    54
    Сообщений
    874

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    Цитата Сообщение от tomtit Посмотреть сообщение
    Становится очевидной очень важная роль антиалиасингового фильтра-интерполятора на входе, который должен убирать ВСЕ составляюшие сигнала выше звуковых частот. Eсли же нормальный апсэмплинг до частоты модулятора заменен на сэмпл-холд или другой примитив для экономии бабла, то частота ступенек сигнала x8 как раз лежит вблизи среза ОС ... Отсюда ясно, что современные звуковые ДС от ТИ и АД - отстой, как я и убедился прослушивая их фирменные эвалюэйшн борды.
    Иными словами, ошибка проектирвщиков современных ЦАП в том, что они применили 8x передискретизатор и потом его выходной сигнал подали на убогий 8x DS модулятор?
    Или что они вообще попытались втиснуть ЗЧ с полосой 100 кГц в пресловутые x64, а нужно было тихо в тряпочку оставить 25 кГц?
    "Земля" - это всего-навсего еще один провод.

  19. #78
    Старый знакомый
    Автор темы
    Аватар для tomtit
    Регистрация
    23.06.2009
    Адрес
    пгт.Торонтовка
    Возраст
    65
    Сообщений
    960

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    На очередном витке спирали понимания ДС модуляторов возврашаюсь к
    крамольной мысли, а не вернуться ли к идее высказанной в посте в посте #1?
    То есть, наплевать на умные статьи и изощренные архитектуры и решить все грубой силой.
    Взять частоту клока модулятора 1024х, сделать однобитный ДСМ 4-го порядка по структуре CIFB -
    цепочка интеграторов с ОС. Такая архитектура считается за 2 клока тактовой без умножений,
    приклеить CIC4 интерполятор х8->х512, который тоже считается за 2 клока. На выход поставить сдвиговый
    регистр на 4 бита и суммировать 8-ю резисторами дифференциально, типа ШИМ-ДЕМ.
    Оверсемплинг получится 512х, что сразу дает фору 48дБ по сравнению с мультибитными решениями.
    После этого просто забить на дизеринг и прочие хитрости.
    И весь модулятор с апсэмплером х8->х512 помещается на 1 страницу исходника.
    Чего-то даже не верится, блин ...
    Последний раз редактировалось tomtit; 10.12.2011 в 19:39.

  20. #79
    Завсегдатай Аватар для pokos
    Регистрация
    18.08.2005
    Сообщений
    1,355

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    Цитата Сообщение от tomtit Посмотреть сообщение
    ...Взять частоту клока модулятора 1024х, сделать однобитный ДСМ 4-го порядка по структуре CIFB...
    Можно, конечно. Только нужно будет добавить в ключи по 0,5нс апертурной неопределённости, да перед выходным ФНЧ ещё пару нс на диф. выходе.
    Тогда уж и посмотреть.

  21. #80
    Регистрация не подтверждена Аватар для ZugDuk
    Регистрация
    05.08.2004
    Адрес
    Piter
    Сообщений
    4,899

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    Цитата Сообщение от tomtit Посмотреть сообщение
    крамольной мысли, а не вернуться ли к идее высказанной в посте в посте #1?
    То есть, наплевать на умные статьи и изощренные архитектуры и решить все грубой силой.
    Вот вот. Я о том же.

Страница 4 из 32 Первая ... 2345614 ... Последняя

Социальные закладки

Социальные закладки

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •