Страница 1 из 5 123 ... Последняя
Показано с 1 по 20 из 86

Тема: Многоканальный FIR процессор

  1. #1
    Новичок
    Автор темы
    Аватар для trox
    Регистрация
    09.02.2015
    Сообщений
    13

    По умолчанию Многоканальный FIR процессор

    Неспешно разрабатывается многоканальный (8 каналов) FIR процессор. Хотелось бы услышать мнение заинтересованного большинства насчет необходимого функционала.
    На данный момент времени характеристики таковы :
    1. Оптический (toslink) цифровой вход (Обсуждаемо).
    2. Оптические (toslink) цифровые выходы (4 выходов - в каждом по 2 канала, - итого 8 каналов). (Обсуждаемо).
    3. Дискретизация входного сигнала - 32 ~ 192 Кгц, 24 бита.
    4. Дискретизация выходного сигнала - 96 Кгц, 24 бита.
    5. Внутренняя обработка - 32 бита, плавающая точка (в дальнейшем возможно обновление - 64 бита, плавающая точка).
    6. Кроссоверная секция (FIR фильтрация) - до 4096 taps на каждый канал (в дальнейшем возможно модификация). Возможна произвольная коррекция АЧХ звукового сигнала с помощью FIR фильтров.
    7. Временная задержка - 0 ~ 20 mc в каждом канале с шагом 10 мкс.
    8. Регулирование выходного уровня - 0 ~ 60 дб в каждом канале с шагом 0.5 дб (Обсуждаемо).
    9. Мастер регулировка уровня (Обсуждаемо).
    10. В дальнейшем возможно реконфигурирование процессора (изменение его аппаратной архитектуры).
    11. Управление и конфигурирование процессора - USB интерфейс.

    Готов выслушать дальнейшие предложения, обсуждения.

  2. #2
    Не хочу! Аватар для Alex
    Регистрация
    20.03.2003
    Адрес
    Worldwide
    Возраст
    61
    Сообщений
    35,998

    По умолчанию Re: Многоканальный FIR процессор

    Цитата Сообщение от trox Посмотреть сообщение
    Внутренняя обработка - 32 бита, плавающая точка (в дальнейшем возможно обновление - 64 бита, плавающая точка).
    На какой частоте?

    P.S. Все это прекрасно, но я пока затормозил разработку всех подобных устройств из-за постоянно повышающегося интереса к DSD, с которым они не совместимы
    "Замполит, чайку?"(с)"Охота за Красным Октябрем".
    "Ну что, можете меняться обратно."(с)типа анек.
    <-- http://altor1.narod.ru --> Вопросы - в личку, е-мейл, скайп.

  3. #3
    Новичок
    Автор темы
    Аватар для trox
    Регистрация
    09.02.2015
    Сообщений
    13

    По умолчанию Re: Многоканальный FIR процессор

    96 Кгц.
    И вот забыл еще что...
    1. 1 стереовход I2S 32 бита от 44.1 до 192 kHz.
    2. 4 стереовыхода I2S с возможностью вывода 8 каналов отдельно.
    3. Возможен вообще вариант отказа от ASRC и работа на частоте входного сигнала с автоматический определением его частоты дискретизации (хранение нескольких наборов коэффициентов фильтрации в памяти устройства).

  4. #4
    Не хочу! Аватар для Alex
    Регистрация
    20.03.2003
    Адрес
    Worldwide
    Возраст
    61
    Сообщений
    35,998

    По умолчанию Re: Многоканальный FIR процессор

    Цитата Сообщение от trox Посмотреть сообщение
    96 Кгц.
    Т.е. ап/даун-семплинг всего в 96, на ней вся обрабока и выход?
    "Замполит, чайку?"(с)"Охота за Красным Октябрем".
    "Ну что, можете меняться обратно."(с)типа анек.
    <-- http://altor1.narod.ru --> Вопросы - в личку, е-мейл, скайп.

  5. #5
    Новичок
    Автор темы
    Аватар для trox
    Регистрация
    09.02.2015
    Сообщений
    13

    По умолчанию Re: Многоканальный FIR процессор

    Да. Но возможен вариант 3 из предыдущего сообщения.

    ---------- Сообщение добавлено 19.41 ---------- Предыдущее сообщение было 19.38 ----------

    Цитата Сообщение от Alex Посмотреть сообщение
    из-за постоянно повышающегося интереса к DSD, с которым они не совместимы
    Это все здорово, только что с ним делать?

  6. #6
    Не хочу! Аватар для Alex
    Регистрация
    20.03.2003
    Адрес
    Worldwide
    Возраст
    61
    Сообщений
    35,998

    По умолчанию Re: Многоканальный FIR процессор

    Цитата Сообщение от trox Посмотреть сообщение
    Это все здорово, только что с ним делать?
    Слушать.
    "Замполит, чайку?"(с)"Охота за Красным Октябрем".
    "Ну что, можете меняться обратно."(с)типа анек.
    <-- http://altor1.narod.ru --> Вопросы - в личку, е-мейл, скайп.

  7. #7
    Новичок
    Автор темы
    Аватар для trox
    Регистрация
    09.02.2015
    Сообщений
    13

    По умолчанию Re: Многоканальный FIR процессор

    Цитата Сообщение от Alex Посмотреть сообщение
    Слушать.
    Да это понятно, как обрабатывать?

  8. #8
    Не хочу! Аватар для Alex
    Регистрация
    20.03.2003
    Адрес
    Worldwide
    Возраст
    61
    Сообщений
    35,998

    По умолчанию Re: Многоканальный FIR процессор

    Дык, в том-то и дело, что "никак".

    P.S. последний "зарезанный" прокт был wireless тылы и саб в многоканале - для облегчения проводки к ним. Когда возникла тем с DSD, посчитали в какой огород все обойдется, и решили пока подождать.
    Последний раз редактировалось Alex; 09.02.2015 в 19:26.
    "Замполит, чайку?"(с)"Охота за Красным Октябрем".
    "Ну что, можете меняться обратно."(с)типа анек.
    <-- http://altor1.narod.ru --> Вопросы - в личку, е-мейл, скайп.

  9. #9
    Новичок
    Автор темы
    Аватар для trox
    Регистрация
    09.02.2015
    Сообщений
    13

    По умолчанию Re: Многоканальный FIR процессор

    Цитата Сообщение от Alex Посмотреть сообщение
    в том-то и дело, что "никак".
    Это я и сам знаю...
    Просто не понял связи между DSD и фильтрацией.

  10. #10
    Не хочу! Аватар для Alex
    Регистрация
    20.03.2003
    Адрес
    Worldwide
    Возраст
    61
    Сообщений
    35,998

    По умолчанию Re: Многоканальный FIR процессор

    Цитата Сообщение от trox Посмотреть сообщение
    Просто не понял связи между DSD и фильтрацией.
    Да связь простая - если устрйство должно и ДСД "уметь," то всякие варанты кроссоверов и т.п. улетучиваются. потому я и написал выше. что "они не совместимы".
    Или, как вариант, ДСД преобразуется в РСМ и так обрабатывается. Но зачем тогда ДСД?
    "Замполит, чайку?"(с)"Охота за Красным Октябрем".
    "Ну что, можете меняться обратно."(с)типа анек.
    <-- http://altor1.narod.ru --> Вопросы - в личку, е-мейл, скайп.

  11. #11
    Новичок
    Автор темы
    Аватар для trox
    Регистрация
    09.02.2015
    Сообщений
    13

    По умолчанию Re: Многоканальный FIR процессор

    Да у многих еще дома патефоны стоят, а Вы про DSD
    Есть ли он у всех ентот DSD? вот вопрос..
    Как вариант конвертация, костыльно конечно, но почему бы и нет. И вообще я про тему DSD не думал, частный случай...Вообще не факт (совсем не факт) что именно с НИМ все устаканится...

  12. #12
    Не хочу! Аватар для Alex
    Регистрация
    20.03.2003
    Адрес
    Worldwide
    Возраст
    61
    Сообщений
    35,998

    По умолчанию Re: Многоканальный FIR процессор

    Цитата Сообщение от trox Посмотреть сообщение
    Да у многих еще дома патефоны стоят, а Вы про DSD
    Про патефоны не знаю, а вот растущий интерес к ДСД вижу, и чувствую по своим изделиям.
    Цитата Сообщение от trox Посмотреть сообщение
    Вообще не факт (совсем не факт) что именно с НИМ все устаканится...
    Да подох бы он поскорее, всем бы легче стало

    А все остальное, в плане перечисленного в первом сообщении - не очень понятно, чем это лучше всей этой обработки на компе и вывода через USB в многоканальный ЦАП ?
    Последний раз редактировалось Alex; 09.02.2015 в 21:51.
    "Замполит, чайку?"(с)"Охота за Красным Октябрем".
    "Ну что, можете меняться обратно."(с)типа анек.
    <-- http://altor1.narod.ru --> Вопросы - в личку, е-мейл, скайп.

  13. #13
    Завсегдатай Аватар для mr-marlen
    Регистрация
    03.05.2009
    Адрес
    Москва, Бун.Луга
    Возраст
    36
    Сообщений
    4,016

    По умолчанию Re: Многоканальный FIR процессор

    Цитата Сообщение от trox Посмотреть сообщение
    Кроссоверная секция (FIR фильтрация) - до 4096 taps на каждый канал (в дальнейшем возможно модификация). Возможна произвольная коррекция АЧХ звукового сигнала с помощью FIR фильтров.
    Касательно пункта 6) Fir фильтрация:
    а) Децимация для НЧ до 5-10кГц;
    б) Децимация для СЧ до 10-20кГц;
    в) Дискретизация для ВЧ 48кГц (ибо больше не нужно..., понимаю уменьшение шага временной задержки, но достаточно и 1/48000);
    г) Тапов на канал с пересчетом относительно децимации;
    д) Интерполяция для НЧ до 48кГц;
    е) Интерполяция для СЧ до 48кГц;
    ж) Желательно 2 канала НЧ с децимацией для возможности докинуть 3 полосы саб-вуферами;

    7) Временная задержка должна быть существено большей 20мс, как минимум 200-500мс (разные длины FIR фильтров, стыковка саба с остальными полосами);
    8) Не обязательно, т.к. в нормальной системе РГ должен быть пассивный (на резисторах) и регулировать максимальный сигнал после фильтра;
    10) Это хорошо;
    11) А как без этого?
    Цитата Сообщение от trox Посмотреть сообщение
    1. 1 стереовход I2S 32 бита от 44.1 до 192 kHz.
    I2S нужен и желательно 2, чтобы можно было перенаправлять, например играют 2 одновременно.
    Поддержку IIR желательно сделать - расшириться диапазон потребителей (живые концерты).
    Цитата Сообщение от trox Посмотреть сообщение
    2. 4 стереовыхода I2S с возможностью вывода 8 каналов отдельно.
    А как без этого фильтровать?
    Цитата Сообщение от trox Посмотреть сообщение
    3. Возможен вообще вариант отказа от ASRC и работа на частоте входного сигнала с автоматический определением его частоты дискретизации (хранение нескольких наборов коэффициентов фильтрации в памяти устройства).
    ASRC лучше оставить. Делать тройную никому не нужную работу не имеет смысла.

    DSD не нужно, разве что индивидуально Alex*у .

    P.S. самое главное это цена. Если это в 2 раза дороже miniSHARC - забивайте на это дело.

  14. #14
    Не хочу! Аватар для Alex
    Регистрация
    20.03.2003
    Адрес
    Worldwide
    Возраст
    61
    Сообщений
    35,998

    По умолчанию Re: Многоканальный FIR процессор

    Цитата Сообщение от mr-marlen Посмотреть сообщение
    DSD не нужно, разве что индивидуально Alex*у
    Мне оно как раз не нужно, да и взять негде - я с компа не слушаю.
    "Замполит, чайку?"(с)"Охота за Красным Октябрем".
    "Ну что, можете меняться обратно."(с)типа анек.
    <-- http://altor1.narod.ru --> Вопросы - в личку, е-мейл, скайп.

  15. #15
    Мимо проходил Аватар для Костя Мусатов
    Регистрация
    05.03.2004
    Адрес
    Москва
    Возраст
    57
    Сообщений
    5,529

    По умолчанию Re: Многоканальный FIR процессор

    Мистер Марлен, а вы не попробовали прикинуть объем вычислений, необходимых для всех операций, включая даунсамплин, фильтрацию, апсемплинг с заявленными до 4096 длинами?
    Что-то мне сдается, что потребуются ДСПики, одни чипы которых будут стоить дороже шарка во много раз.
    Суть в том, что у меня есть готовый проект таких фильтров на двойную сетку и с рабочими частотами 88 и 96 и на 32 бита с пллавающей точкой. Длины фильтров у меня значительно более скромные. Однако загрузка TMS320C6726 около 50%.

  16. #16
    Новичок
    Автор темы
    Аватар для trox
    Регистрация
    09.02.2015
    Сообщений
    13

    По умолчанию Re: Многоканальный FIR процессор

    У нас просто FPGA...Цена самого чипа кстати не очень большая, но все же.

  17. #17
    Лишен права ответа (до 10.04.2024)
    Регистрация
    20.03.2010
    Адрес
    Воронеж
    Возраст
    47
    Сообщений
    2,377

    По умолчанию Re: Многоканальный FIR процессор

    Интересно было бы восьмиканальное устройство, которое позволило бы и отказаться от железных цифровых фильтров в пользу софтовых.
    Что мешает гнать 8 каналов 768 кНz/32 bit - на ES9018, мимо его ресемлера? Или, ещё лучше, 10 каналов 768 кНz/24 bit на ЦАП на 10 шт SM5865CM?
    Нужен неделимый комбайн - проги, транспорт и ЦАП.

  18. #18
    Завсегдатай Аватар для anatol0
    Регистрация
    14.05.2005
    Адрес
    Москва
    Возраст
    64
    Сообщений
    2,353

    По умолчанию Re: Многоканальный FIR процессор

    Цитата Сообщение от Alex Посмотреть сообщение
    А все остальное, в плане перечисленного в первом сообщении - не очень понятно, чем это лучше всей этой обработки на компе и вывода через USB в многоканальный ЦАП ?
    Для меня это самый главный вопрос.
    Кроме того, что будет источником?
    Последний раз редактировалось anatol0; 10.02.2015 в 01:40.

  19. #19
    Мимо проходил Аватар для Костя Мусатов
    Регистрация
    05.03.2004
    Адрес
    Москва
    Возраст
    57
    Сообщений
    5,529

    По умолчанию Re: Многоканальный FIR процессор

    Цитата Сообщение от trox Посмотреть сообщение
    У нас просто FPGA
    Интересно, какая модель с плавающей точкой дсп блоками?

  20. #20
    Новичок
    Автор темы
    Аватар для trox
    Регистрация
    09.02.2015
    Сообщений
    13

    По умолчанию Re: Многоканальный FIR процессор

    А Вы разве не в теме?
    У Altera есть к примеру hard плавающая точка, конкретно Arria 10 (правда с конским ценником за средние и топовые кристаллы, посмотрим как изменится цена для младших - может лучше тогда перейти на них), но мы все больше на Xilinx, там нет hard плавающей точки, но она эмулируется на обычных DSP slices, и цена там доступная.
    Последний раз редактировалось trox; 10.02.2015 в 02:17.

Страница 1 из 5 123 ... Последняя

Социальные закладки

Социальные закладки

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •