Страница 30 из 32 Первая ... 202829303132 Последняя
Показано с 581 по 600 из 638

Тема: Дилетантский вопрос про sigma-delta

  1. #1 Показать/скрыть первое сообщение.
    Старый знакомый
    Автор темы
    Аватар для tomtit
    Регистрация
    23.06.2009
    Адрес
    пгт.Торонтовка
    Возраст
    65
    Сообщений
    951

    Вопрос Дилетантский вопрос про sigma-delta

    Нажмите на изображение для увеличения. 

Название:	sdm4-20bx256.gif 
Просмотров:	2571 
Размер:	12.4 Кб 
ID:	134944
    Вот наскоро сочинил примитивную модель однобитного сигма-дельта модулятора 4-го порядка,
    подал на вход синус 20-бит проапсэмпленный до 256*Fs.
    Смотрите что получилось ...
    Почему никто не использует столь простой подход ?
    Ищем грабли ..., учим теорию.
    Последний раз редактировалось tomtit; 29.05.2013 в 02:03.

  2. #581
    Частый гость Аватар для s_valentin
    Регистрация
    14.12.2006
    Адрес
    Магнитогорск
    Возраст
    43
    Сообщений
    138

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    Теперь промоделируем дискретную модель (с квантователем) во времени.
    Дизеринг не используем.
    На вход подадим синус 20кГц, амплитудой 0.5, Fs 64*44100.


    Входной сигнал (зел), ошибка квантования (син), выход первого интегратора (красн):
    Нажмите на изображение для увеличения. 

Название:	IN DIFF S0.png 
Просмотров:	59 
Размер:	26.0 Кб 
ID:	436347

    Выходы 2, 3, 4, 5 интеграторов:
    Нажмите на изображение для увеличения. 

Название:	S1.png 
Просмотров:	37 
Размер:	8.8 Кб 
ID:	436348
    Нажмите на изображение для увеличения. 

Название:	S2.png 
Просмотров:	29 
Размер:	7.3 Кб 
ID:	436349
    Нажмите на изображение для увеличения. 

Название:	S3.png 
Просмотров:	29 
Размер:	8.3 Кб 
ID:	436350
    Нажмите на изображение для увеличения. 

Название:	S4.png 
Просмотров:	33 
Размер:	7.6 Кб 
ID:	436351

    Выход сумматора перед квантователем (син), выход квантователя (красн):
    Нажмите на изображение для увеличения. 

Название:	Sall Q.png 
Просмотров:	37 
Размер:	13.1 Кб 
ID:	436352

    Спектр выхода квантователя:
    Нажмите на изображение для увеличения. 

Название:	FFT Q.png 
Просмотров:	61 
Размер:	28.5 Кб 
ID:	436353

    АКФ
    Нажмите на изображение для увеличения. 

Название:	AKF.png 
Просмотров:	39 
Размер:	33.0 Кб 
ID:	436354

    ---------- Сообщение добавлено 22:57 ---------- Предыдущее сообщение было 22:48 ----------

    Теперь увеличим амплитуду входного сигнала до 0.8, чтобы перегрузить модулятор.

    Вход, ошибка, первый интегратор:
    Нажмите на изображение для увеличения. 

Название:	OVL IN DIFF S0.png 
Просмотров:	37 
Размер:	18.3 Кб 
ID:	436355

    Интеграторы 2-5:
    Нажмите на изображение для увеличения. 

Название:	OVL S1.png 
Просмотров:	26 
Размер:	7.9 Кб 
ID:	436356
    Нажмите на изображение для увеличения. 

Название:	OVL S2.png 
Просмотров:	26 
Размер:	7.3 Кб 
ID:	436357
    Нажмите на изображение для увеличения. 

Название:	OVL S3.png 
Просмотров:	23 
Размер:	6.9 Кб 
ID:	436358
    Нажмите на изображение для увеличения. 

Название:	OVL S4.png 
Просмотров:	23 
Размер:	6.8 Кб 
ID:	436359

    Сумматор, квантователь:
    Нажмите на изображение для увеличения. 

Название:	OVL Sall Q.png 
Просмотров:	29 
Размер:	11.5 Кб 
ID:	436360

    Спектр выхода:
    Нажмите на изображение для увеличения. 

Название:	OVL FFT Q.png 
Просмотров:	33 
Размер:	34.4 Кб 
ID:	436361

    Видно, что при перегрузке выход залипает в одном состоянии, значения интеграторов сильно растут.
    Дальше мои полномочия все...
    Куда копать?

    ---------- Сообщение добавлено 23:25 ---------- Предыдущее сообщение было 22:57 ----------

    Цитата Сообщение от sia_2 Посмотреть сообщение
    очень наглядно. Кстати, такой модулятор очень сильно выигрывает от не однобитного, а многоуровневого квантователя. Уже 4...8 уровней делают из него просто конфетку.
    Да, но идея была сделать "на коленке" "правильный" 1-битный ЦАП. Причем используя доступные компоненты, например дискретный AFIR или AFIR в составе мс ЦАП с прямым DSD выходом.

  3. #582
    Завсегдатай Аватар для sia_2
    Регистрация
    18.07.2005
    Сообщений
    4,009

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    не надо ничего "копать". Модулятор рассчитан штатно на индекс модуляции 0.5, реально, при "гладком" сигнале ЕМНИС держит примерно 0.65...0.67. Индекс 0.8 при этом наборе коэффициентов конечно же приведет к вылету. Физика процесса следующая: при большом индексе модуляции растет эффективная задержка в петле, так как появляется длинная последовательность одинаковых бит подряд.
    1-битный ЦАП на модуляторе от Сони работает, д*артаньян вроде даже выкладывал готовый проект из ЦФ и модулятора.
    Этот старинный модулятор, кстати, намного лучше того, что обычно стоит в микросхемах ЦАП, отличие в петлевом усилении от той же 1794 - почти 40 дБ.

  4. #583
    Частый гость Аватар для s_valentin
    Регистрация
    14.12.2006
    Адрес
    Магнитогорск
    Возраст
    43
    Сообщений
    138

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    Спасибо!
    Просто пытаюсь разобраться в физике процесса.

    А проект д`артаньяна я уже "погонял" на макетке - все работает.

    Там у меня другая идея возникла (тоже дилетанская - по ачх мс ЦАП видно, что AFIR начинает работать не менее чем от 2-3Fs и при 64Fs сразу за звуковой полосой есть приличный такой горб шума. Идея заключается в том, чтобы включить 4 мс ЦАП в параллель, каждый со своей задержкой (ищется численной оптимизацией) - получим гребенчатый фильтр, которым можно неплохо "вырезать" этот горб:
    (красный - гребенчатый фильтр, синий - аналоговый фильтр)
    Нажмите на изображение для увеличения. 

Название:	COMB FLT.png 
Просмотров:	39 
Размер:	17.3 Кб 
ID:	436366
    (синий-рост шума)
    Нажмите на изображение для увеличения. 

Название:	NOISE COMB FLT.png 
Просмотров:	32 
Размер:	13.0 Кб 
ID:	436367

    Спад АЧХ гребенчатого фильтра до 20кГц компенсировать в интерполирующем ФИР фильтре.

    Для наглядности - 8 уровневый квантователь на порядок улучшает модулятор...
    Нажмите на изображение для увеличения. 

Название:	8level SDM SONY.png 
Просмотров:	42 
Размер:	17.8 Кб 
ID:	436364
    Нажмите на изображение для увеличения. 

Название:	FFT 8level SDM SONY.png 
Просмотров:	58 
Размер:	27.9 Кб 
ID:	436365
    Последний раз редактировалось s_valentin; 12.05.2023 в 22:15.

  5. #584
    Старый знакомый
    Автор темы
    Аватар для tomtit
    Регистрация
    23.06.2009
    Адрес
    пгт.Торонтовка
    Возраст
    65
    Сообщений
    951

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    s_valentin,
    Только 4 цапа городить не нужно, достаточно цифровую линию задержки с 4-мы отводами. Тот же АФИР с разреженной матрицей.

  6. #585
    Завсегдатай Аватар для sia_2
    Регистрация
    18.07.2005
    Сообщений
    4,009

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    Кстати, при 8 уровнях этот модулятор сохраняет устойчивость при индексе модуляции больше 0.9.

  7. #586
    Частый гость Аватар для s_valentin
    Регистрация
    14.12.2006
    Адрес
    Магнитогорск
    Возраст
    43
    Сообщений
    138

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    Цитата Сообщение от tomtit Посмотреть сообщение
    Только 4 цапа городить не нужно, достаточно цифровую линию задержки с 4-мы отводами. Тот же АФИР с разреженной матрицей.
    Да, если АФИР самодельный, на триггерах/регистрах.
    Данный фильтр был получен в конфигурации задержек 0-13-20-39 (периодов битовой частоты 1/(64*44100)).
    Т.е. для самодельного АФИР необходима куча регистров - конструкция станет монструозной.
    Я все-таки склоняюсь к использованию АФИР в составе готовых мс ЦАП.

    Тут тоже есть варианты, которые необхомо промоделировать - задерживать выход одного модулятора, тогда суммироваться будут 4 взаимно коррелированных сигнала с точным вычитанием шума в целевой полосе. Или суммировать выходы 4х модуляторов, частично декоррелированных (допустим за счет разных источников шума в дизеринге) и за счет этого еще немного снизить шум во всей полосе, но тогда возможно не произойдет точного вычитания шума в целевой полосе гребенчатого фильтра.

    ---------- Сообщение добавлено 16:36 ---------- Предыдущее сообщение было 16:19 ----------

    Цитата Сообщение от sia_2 Посмотреть сообщение
    Кстати, при 8 уровнях этот модулятор сохраняет устойчивость при индексе модуляции больше 0.9.
    Да, уже "поигрался" моделькой. Многоуровневый модулятор гораздо лучше работает. Другой вопрос получения однобитного выхода ЦАП из многоуровнего модулятора...

    Пока общая идея "правильного" однобитника остается неизменной:
    - однобитный выход ЦАП - как способ получения теоретической идеальной линейности и максимально нейтрального звука;
    - использование минимальной частоты выхода (64Fs) - у всех мс ЦАП с ростом частоты растут искажения;
    - применение готовых мс ЦАП с АФИР и DSD входом - обусловлено существенно меньшими габаритами;
    - применение нескольких мс ЦАП в параллель с задержкой (пока получается 4) - для фильтрации шума сразу за звуковой полосой, который пропускает как АФИР так и аналоговый фильтр;
    - применение модулятора максимального порядка, с максимальным усилением в звуковой полосе и устойчивым при глубине модуляции не менее 0.5;
    - применение интерполирующего ЦФ до частоты модулятора, компенсация АФЧХ гребенчатого и аналогового фильтра в ЦФ;
    - синхронный ввод цифрового звукового потока.

  8. #587
    Завсегдатай Аватар для sia_2
    Регистрация
    18.07.2005
    Сообщений
    4,009

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    пред-пред-предпоследний и пред-предпоследний пункты крайне спорны. Высокий порядок модулятора имеет смысл в основном при использовании ШИМ выхода. Например, кварц 512Fs, ШИМ с 7 рабочими уровнями (1/8...7/8), частота ШИМ 64 Fs. Дальше AFIR длиной 8 с одинаковыми коэффициентами. Нагонять петлевое усиление модулятора выше ~100 дБ нет смысла, лучше отодвинуть вправо "стену шума". Несколько микросхем ЦАП конструктивно не айс, две - практический максимум.
    Последний раз редактировалось sia_2; 14.05.2023 в 14:59.

  9. #588
    Завсегдатай Аватар для dortonyan
    Регистрация
    03.06.2009
    Адрес
    BLR
    Возраст
    38
    Сообщений
    3,225

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    По моему на 8-уровней не сложно сделать и мультибитный выход, типа дифференциального DWA на паре 595 с перемешиванием кольцевым сдвигом.
    При частоте тактировании 512Fs все сегменты будут успевать перемешиваться за каждый такт х64 модулятора. И, в отличие от однобитного выхода, проще фильтровать вход каскада I/U.

  10. #589
    Завсегдатай Аватар для sia_2
    Регистрация
    18.07.2005
    Сообщений
    4,009

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    Цитата Сообщение от dortonyan Посмотреть сообщение
    По моему на 8-уровней не сложно сделать и мультибитный выход, типа дифференциального DWA на паре 595 с перемешиванием кольцевым сдвигом.
    При частоте тактировании 512Fs все сегменты будут успевать перемешиваться за каждый такт х64 модулятора. И, в отличие от однобитного выхода, проще фильтровать вход каскада I/U.
    Тоже вариант, кстати.

  11. #590
    Старый знакомый
    Автор темы
    Аватар для tomtit
    Регистрация
    23.06.2009
    Адрес
    пгт.Торонтовка
    Возраст
    65
    Сообщений
    951

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    Я чувствую, мои многочисленные посты никто не прочитал и не понял кроме sia_2.
    Если сделать модулятор на 512х или 1024х и подмешать на вход последнего интегратора меандр амплитудой +-2 ОС, то на выходе получится прекрасный ШИМ с частотой, например, 8 раз ниже. Подав последний на 8-битный АКИХ получим решение всех проблем, включая ИСИ.
    Субгармоники ШИМ и несущей тоже накроются медным тазом, а гемора на порядок меньше чем с многобитным ДЕМ, где не меньше проблем с цифровым шумом перемешивателей, ИСИ и т.д.
    Расчет такого модулятора - одна страничка на маткаде, которым s_valentin умеет пользоваться и даже умножения не нужны для очень точной реализации желаемой НТФ.
    Последний раз редактировалось tomtit; 14.05.2023 в 02:54.

  12. #591
    Завсегдатай Аватар для dortonyan
    Регистрация
    03.06.2009
    Адрес
    BLR
    Возраст
    38
    Сообщений
    3,225

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    Цитата Сообщение от tomtit Посмотреть сообщение
    Я чувствую, мои многочисленные посты никто не прочитал и не понял кроме sia_2.
    Читают как раз все, только пишете далеко от "народа". Это тот случай когда "ничего не понятно, но очень интересно".
    Теперь, после этого поста, до меня вроде начинает доходить.
    Кстати, если ШИМ интегрируется на АКИХ, может в модуляторе охватывать его ООС не обязательно?
    Последний раз редактировалось dortonyan; 14.05.2023 в 09:16.

  13. #592
    Завсегдатай Аватар для sia_2
    Регистрация
    18.07.2005
    Сообщений
    4,009

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    Цитата Сообщение от dortonyan Посмотреть сообщение
    Читают как раз все, только пишете далеко от "народа". Это тот случай когда "ничего не понятно, но очень интересно".
    Теперь, после этого поста, до меня вроде начинает доходить.
    Кстати, если ШИМ интегрируется на АКИХ, может в модуляторе охватывать его ООС не обязательно?
    Как раз крайне желательно чтобы специфические искажения от ШИМ, связанные со смещением "центров масс" импульсов и изменением их спектра при разной ширине убирались ООС модулятора.
    Задача синтеза такого модулятора на самом деле намного проще, чем однобитника с большим индексом, раздитеренный ШИМ вообще квазилинейная система, петля управления довольно просто рисуется на бумажке. Единственное что надо - сделать нелинейную коррекцию на клип (динамическое понижение порядка) чтобы модулятор не вылетал при перегрузке по входу, да учесть при расчете устойчивости эффективную задержку, вносимую ШИМ (половина периода ШИМ).
    Последний раз редактировалось sia_2; 14.05.2023 в 15:43.

  14. #593
    Частый гость Аватар для s_valentin
    Регистрация
    14.12.2006
    Адрес
    Магнитогорск
    Возраст
    43
    Сообщений
    138

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    Цитата Сообщение от tomtit Посмотреть сообщение
    Я чувствую, мои многочисленные посты никто не прочитал и не понял кроме sia_2.
    Если сделать модулятор на 512х или 1024х и подмешать на вход последнего интегратора меандр амплитудой +-2 ОС, то на выходе получится прекрасный ШИМ с частотой, например, 8 раз ниже. Подав последний на 8-битный АКИХ получим решение всех проблем, включая ИСИ.
    Субгармоники ШИМ и несущей тоже накроются медным тазом, а гемора на порядок меньше чем с многобитным ДЕМ, где не меньше проблем с цифровым шумом перемешивателей, ИСИ и т.д.
    Расчет такого модулятора - одна страничка на маткаде, которым s_valentin умеет пользоваться и даже умножения не нужны для очень точной реализации желаемой НТФ.
    tomtit, ваши сообщения (и Сергея) зачитаны до дыр!
    Но это реально сложная тема для дилетанта.

    Такой способ получения ШИМ выхода я моделировал для 1-го порядка - все получилось, да и интуитивно достаточно понятно как это работает.
    Но вот для порядков выше 1-го пока так и не получилось. Непонятно, с какой амплитудой вводить меандр в последний интегратор, т.к. на его вход поступает заметно усиленный (предыдущими звеньями) сигнал.

    А так да, работает
    PDM
    Нажмите на изображение для увеличения. 

Название:	pdm afir8.png 
Просмотров:	44 
Размер:	42.6 Кб 
ID:	436459
    PWM
    Нажмите на изображение для увеличения. 

Название:	pwm afir8.png 
Просмотров:	49 
Размер:	40.8 Кб 
ID:	436460

  15. #594
    Старый знакомый
    Автор темы
    Аватар для tomtit
    Регистрация
    23.06.2009
    Адрес
    пгт.Торонтовка
    Возраст
    65
    Сообщений
    951

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    Цитата Сообщение от s_valentin Посмотреть сообщение
    Непонятно, с какой амплитудой вводить меандр в последний интегратор
    Смотри в посте выше, это примерно +-2 амплитуды ОС на последнем интеграторе. В каждом конкретном случае надо оптимизировать.
    Соневский модулятор не слишком пригоден для получения ШИМ из-за связей вперед и высокого содержания ВЧ на выходе петлевого фильтра.
    Лучше работает самый простой модулятор - цепочка интеграторов с резонаторами и обратными связями с выхода квантователя на вход каждого из интеграторов (CRFB).

    SТF такого модулятора - ФНЧ N-го порядка, что очень хорошо для формирования ШИМ. Ещё одно достоинство - умножители могут понадобиться только для формирования резонаторов(комплексные пары нулей NTF), а всё остальное делается суммированием с +-константами. Я уже писал об этом на прошлых страницах и не один раз (посты #361,#375,#421,#425,#475,#504).

    Offтопик:
    Если хочется, то можно даже сделать так - нарисовать чисто аналоговую схему на идеальных ОУ, квантователь на компараторе и синхронном D-триггере, всё отладить в Микрокапе или LTspice, а потом преобразовать в цифровую модель.
    Вроде сверхглубокоосники тут делают все, кому не лень.
    Например, Белкин ВВ21-22 - это примерно 5-й порядок ПФ. Допилить его,
    снизив усиление до 120дБ и сделать частоту единичного усиления в районе 1-2МГц с хорошим запасом по фазе с учетом транспортной задержки, и глядишь, побьём AK4499. Если Микрокап сосчитает, то и ПЛИС прекрасно справится.

    Для выхода на телефоны 32Ом достаточно мощного КМОП выхода с пассивным LC фильтром. Очень хорошо играет совсем без И/В, напрямую с ПЛИС через RC цепочку. Не могу отличить от аудиокарты на АК4493 (ЕVGA). Также не слышу разницы между самоосциллирующим и традиционным модуляторами.
    Звук явно чище и детальнее чем у TDA1541.
    Последний раз редактировалось tomtit; 09.06.2023 в 21:48.

  16. #595
    Старый знакомый
    Автор темы
    Аватар для tomtit
    Регистрация
    23.06.2009
    Адрес
    пгт.Торонтовка
    Возраст
    65
    Сообщений
    951

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    Решил приоткрыть эту тему в связи с первым испытанием самодельного ДС модулятора с дискретным Д/А преобразователем.
    Фото и спектры прилагаются. Никакой доводки ещё не производилось.
    Особенности дизайна:
    1. Разделение земель очень условное. Можно сказать, что его почти нет.
    2. Дифференциальное исполнение аналоговой части.
    3. Восстанавливающий фильтр 6-го порядка.
    4. Питания +-11.2в общие для обеих каналов.
    5. Для цифровой части - импульсный регулятор на 3 канала 1.2в, 2.5в и 3.3в.
    6. Аналоговые регуляторы - дискретные по слегка доработанной схеме от Бруно. Сделаны посто из любопытства.

    Уровень наводок ниже шумовой полки без экрана, так же как и разделение каналов - не меряется без режектора.

    PCBA
    Нажмите на изображение для увеличения. 

Название:	dac2.png 
Просмотров:	239 
Размер:	1.37 Мб 
ID:	442840

    -1dB
    Нажмите на изображение для увеличения. 

Название:	-1dB.png 
Просмотров:	139 
Размер:	15.1 Кб 
ID:	442841

    -24dB
    Нажмите на изображение для увеличения. 

Название:	-24dB.png 
Просмотров:	108 
Размер:	14.7 Кб 
ID:	442842

    IMD
    Нажмите на изображение для увеличения. 

Название:	imd.png 
Просмотров:	107 
Размер:	14.9 Кб 
ID:	442843

    BW=200kHz, Level=-7dB
    Нажмите на изображение для увеличения. 

Название:	BW200K.png 
Просмотров:	99 
Размер:	12.2 Кб 
ID:	442845
    Последний раз редактировалось tomtit; 23.10.2023 в 18:50.

  17. #596
    Завсегдатай Аватар для maxssau
    Регистрация
    15.08.2015
    Адрес
    Самара
    Возраст
    39
    Сообщений
    2,608

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    _________________
    Евгений

  18. #597
    Завсегдатай Аватар для pyos
    Регистрация
    15.07.2006
    Возраст
    64
    Сообщений
    4,375

    По умолчанию Re: Дилетантский вопрос про sigma-delta




    Offтопик:
    tomtit, а блок из микросхем U14-U53 и резисторных сборок RN2-RN9 - это AFIR?

  19. #598
    Старый знакомый
    Автор темы
    Аватар для tomtit
    Регистрация
    23.06.2009
    Адрес
    пгт.Торонтовка
    Возраст
    65
    Сообщений
    951

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    Да, это АФИР с 16 отводами.
    Использованы сборки 10Кх8 от Виши, чтобы облегчить пайку.
    Точность 0.1% вполне достаточна.

  20. #599
    Завсегдатай Аватар для Turbo_man
    Регистрация
    06.04.2010
    Адрес
    Moscow
    Сообщений
    5,577

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    Выглядит красиво. 👍 А по деньгам как вышло примерно?

  21. #600
    Старый знакомый
    Автор темы
    Аватар для tomtit
    Регистрация
    23.06.2009
    Адрес
    пгт.Торонтовка
    Возраст
    65
    Сообщений
    951

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    Цитата Сообщение от Turbo_man Посмотреть сообщение
    Выглядит красиво. �� А по деньгам как вышло примерно?
    Довольно дорого. На опытном образце не экономил.
    Сейчас всё на Диджикее подорожало в 3раза. Но дороже всего - время, потраченное на ручную сборку.
    Дорогих ИС нет, кроме ФПГА и SI552, все ОУ - OPA1656, их 6шт. Резисторы 0.1% тонкая плёнка 0805 недешевы,
    конденсаторы все NP0 5% 0603 - недорогие. В общем - ничего особо дорогого не использовалось.
    Куча дискретных 1G триггеров и транзисторов - копейки. Но в сумме - где то 300$.
    P.S.
    Заметил странности с АЦП Cosmos. На 384к почему-то исчезают некоторые гармоники - похоже на алиасинг.
    Непонятно ещё, откуда берётся вторая гармоника в таком количестве.
    Последний раз редактировалось tomtit; 23.10.2023 в 21:45.

Страница 30 из 32 Первая ... 202829303132 Последняя

Социальные закладки

Социальные закладки

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •