Страница 22 из 32 Первая ... 122021222324 ... Последняя
Показано с 421 по 440 из 638

Тема: Дилетантский вопрос про sigma-delta

  1. #1 Показать/скрыть первое сообщение.
    Старый знакомый
    Автор темы
    Аватар для tomtit
    Регистрация
    23.06.2009
    Адрес
    пгт.Торонтовка
    Возраст
    65
    Сообщений
    951

    Вопрос Дилетантский вопрос про sigma-delta

    Нажмите на изображение для увеличения. 

Название:	sdm4-20bx256.gif 
Просмотров:	2569 
Размер:	12.4 Кб 
ID:	134944
    Вот наскоро сочинил примитивную модель однобитного сигма-дельта модулятора 4-го порядка,
    подал на вход синус 20-бит проапсэмпленный до 256*Fs.
    Смотрите что получилось ...
    Почему никто не использует столь простой подход ?
    Ищем грабли ..., учим теорию.
    Последний раз редактировалось tomtit; 29.05.2013 в 02:03.

  2. #421
    Старый знакомый
    Автор темы
    Аватар для tomtit
    Регистрация
    23.06.2009
    Адрес
    пгт.Торонтовка
    Возраст
    65
    Сообщений
    951

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    Надо ещё вспомнить, что влияние неидеальности ключей и высокочастотный шум, да и вообще, многие проблемы примерно пропорциональны частоте переключения однобитного выхода. Поэтому, я пришёл к выводу, что наилучшее решение - сделать модулятор с максимально возможной тактовой частотой, а потом уменьшить частоту выхода раз в 8, перейдя к ШИМ модуляции. A AFIR как раз имеет нули точно на максимальных пиках шума и снижает чувствительность к джиттеру клока примерно в 3 раза. Такой модулятор даёт ещё в среднем вдвое меньшую транспортную задержку по сравнению с обычным однобитным с той же выходной частотой.
    Последний раз редактировалось tomtit; 24.08.2022 в 01:17.

  3. #422
    Старый знакомый Аватар для SergVKS
    Регистрация
    26.03.2005
    Адрес
    Воронеж
    Сообщений
    924

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    Цитата Сообщение от dortonyan Посмотреть сообщение
    У обсуждаемого выше соньковского модулятора такая же частота, но на проце это будет может и проще (хотя кому как), но дороже и с потреблением как минимум на порядок больше (скорее на полтора порядка, хотя конечно зависит от выбранной плисины).
    Ток потребления платы СМ7 75ма - стабы линейные кроме ядра(там с 3.3 dc-dc опускает до 1.15).
    Последний раз редактировалось SergVKS; 24.08.2022 в 10:53.

  4. #423
    Завсегдатай Аватар для dortonyan
    Регистрация
    03.06.2009
    Адрес
    BLR
    Возраст
    38
    Сообщений
    3,224

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    Цитата Сообщение от tomtit Посмотреть сообщение
    Надо ещё вспомнить, что влияние неидеальности ключей и высокочастотный шум, да и вообще, многие проблемы примерно пропорциональны частоте переключения однобитного выхода. Поэтому, я пришёл к выводу, что наилучшее решение - сделать модулятор с максимально возможной тактовой частотой, а потом уменьшить частоту выхода раз в 8, перейдя к ШИМ модуляции. A AFIR как раз имеет нули точно на максимальных пиках шума и снижает чувствительность к джиттеру клока примерно в 3 раза. Такой модулятор даёт ещё в среднем вдвое меньшую транспортную задержку по сравнению с обычным однобитным с той же выходной частотой.
    Зато однобитник проще. Возвращаясь к заглавному посту: плисина + несколько триггеров реклока = high-end ЦАП.
    Задержки и чувствительность к джиттеру для аудо-применений по моему - вообще ни о чем. Даже если джиттер большой, но не коррелирован с сигналом, то это просто шум. Ну будет полка на пару дБ выше - кого это волнует?
    Кстати, Сергей ранее писал, что ШИМ из-за фильтрации аналоговым ФНЧ (который не является интегратором) может искажать. По идее, если весь период ШИМ-а помещается в АКИХ, то эта проблема решена?

    Цитата Сообщение от SergVKS Посмотреть сообщение
    Ток потребления платы СМ7 75ма - стабы линейные кроме ядра(там с 3.3 dc-dc опускает до 1.15).
    Ну так по сравнению с современными FPGA это много. ice40 с dc-dc на ядре будет потреблять примерно на порядок (если не больше) меньше, но это конечно очень грубо, т.к. tomtit не описывал, что она там задумал. Ну и на таких малых токах на потребление уже влияет кол-во и частота используемых выходных портов (тупо на перезаряд емкостей).

  5. #424
    Старый знакомый Аватар для SergVKS
    Регистрация
    26.03.2005
    Адрес
    Воронеж
    Сообщений
    924

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    Цитата Сообщение от dortonyan Посмотреть сообщение
    Ну так по сравнению с современными FPGA это много. ice40 с dc-dc на ядре будет потреблять примерно на порядок (если не больше) меньше
    Что-то сомневаюсь что ice40 при этом ещё сможет флаки с флешки декодировать.

  6. #425
    Старый знакомый
    Автор темы
    Аватар для tomtit
    Регистрация
    23.06.2009
    Адрес
    пгт.Торонтовка
    Возраст
    65
    Сообщений
    951

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    Ну во-первых, iCE40UP5К для звуковых целей годятся ограниченно, придётся сильно париться с оптимизацией. А вот для малоразрядных поделок типа ККМ очень даже хороши, если удастся заставить их работать, как надо, из-за некоторых плохо документированных особенностей.

    По поводу проектирования модуляторов, скажу, что неминимально-фазовая задержка внутри петли ОС имеет значение, поэтому предпочитаю использовать максимально возможную тактовую частоту для петлевого фильтра. Пока что, проблема снижения выходной частоты и подавления ИСИ проще всего решается при использовании ШИМ с коэффициентом заполнения < 1.
    Искажениями ШИМ можно пренебречь, если ШИМ генерируется внутри петли ОС.
    Причём, при одинаковых исходных ресурсах, различные подходы дадут примерно одинаковый результат, так что, всё это дело вкуса, каким путём идти.
    Например, результат работы патентованного модулятора имени Путзейса можно отличить от сермяжно-лапотного, только под микроскопом.
    Он придумал более линейный модулятор с односторонним ШИМ, а у меня ШИМ двусторонний и это дало +6дБ луп гейна и улучшило стабильность.
    В итоге - результат идентичен. И таких примеров множество.
    Обычно сложность и проблемы плавно перемещаются из одного узла в другой и можно здраво оценить результат, только когда соберёшь и кропотливо промеряешь.

    З.Ы.
    Спектр на выходе модуляторов с 8-битным АФИР, клоком 49.152МГц и выходом 128х.
    (Это самая плохая пораженная точка, где видны гармоники модулятора)
    Вот отгадайте где кто:
    Нажмите на изображение для увеличения. 

Название:	bp_sk.gif 
Просмотров:	78 
Размер:	108.2 Кб 
ID:	424305
    Последний раз редактировалось tomtit; 24.08.2022 в 17:39.

  7. #426
    Завсегдатай Аватар для dortonyan
    Регистрация
    03.06.2009
    Адрес
    BLR
    Возраст
    38
    Сообщений
    3,224

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    Цитата Сообщение от SergVKS Посмотреть сообщение
    Что-то сомневаюсь что ice40 при этом ещё сможет флаки с флешки декодировать.
    Не, так речь же шла про ККМ.

    Цитата Сообщение от tomtit Посмотреть сообщение
    Ну во-первых, iCE40UP5К для звуковых целей годятся ограниченно, придётся сильно париться с оптимизацией.
    Мой опубликованный ЦФ даже в 2к помещается (ICE5LP2K), пускай и с урезанным функционалом (как раз используются все выделенные умножители). А для 5к даже сильно оптимизировать не придется.

    Цитата Сообщение от tomtit Посмотреть сообщение
    Обычно сложность и проблемы плавно перемещаются из одного узла в другой и можно здраво оценить результат, только когда соберёшь и кропотливо промеряешь.
    А вы что-нибудь из самописанных SDM пробовали реализовывать?

  8. #427
    Завсегдатай Аватар для sia_2
    Регистрация
    18.07.2005
    Сообщений
    4,009

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    Цитата Сообщение от tomtit Посмотреть сообщение
    Ну во-первых, iCE40UP5К для звуковых целей годятся ограниченно, придётся сильно париться с оптимизацией. А вот для малоразрядных поделок типа ККМ очень даже хороши, если удастся заставить их работать, как надо, из-за некоторых плохо документированных особенностей.

    По поводу проектирования модуляторов, скажу, что неминимально-фазовая задержка внутри петли ОС имеет значение, поэтому предпочитаю использовать максимально возможную тактовую частоту для петлевого фильтра. Пока что, проблема снижения выходной частоты и подавления ИСИ проще всего решается при использовании ШИМ с коэффициентом заполнения < 1.
    Искажениями ШИМ можно пренебречь, если ШИМ генерируется внутри петли ОС.
    Причём, при одинаковых исходных ресурсах, различные подходы дадут примерно одинаковый результат, так что, всё это дело вкуса, каким путём идти.
    Например, результат работы патентованного модулятора имени Путзейса можно отличить от моего, сермяжно-лапотного, только под микроскопом.
    Он придумал более линейный модулятор с односторонним ШИМ, а у меня ШИМ двусторонний и это дало +6дБ луп гейна и улучшило стабильность.
    В итоге - результат идентичен. И таких примеров множество.
    Обычно сложность и проблемы плавно перемещаются из одного узла в другой и можно здраво оценить результат, только когда соберёшь и кропотливо промеряешь.

    З.Ы.
    Спектр на выходе модуляторов с 8-битным АФИР, клоком 49.152МГц и выходом 128х.
    Вот отгадайте где кто:
    Нажмите на изображение для увеличения. 

Название:	bp_sk.gif 
Просмотров:	78 
Размер:	108.2 Кб 
ID:	424305
    Так и есть. Единственное что, в интегральном исполнении AFIR получается намного шустрее, чем на россыпи, и в этом случае начинает выигрывать хорошо (численно) оптимизированный однобитник. Есть также вариант параллельной работы нескольких некореллированных по шуму квантования однобитников.

  9. #428
    Старый знакомый
    Автор темы
    Аватар для tomtit
    Регистрация
    23.06.2009
    Адрес
    пгт.Торонтовка
    Возраст
    65
    Сообщений
    951

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    Цитата Сообщение от dortonyan Посмотреть сообщение
    А вы что-нибудь из самописанных SDM пробовали реализовывать?
    Только сильно урезанные версии для работы(не аудио).
    Обычно FPGA работала сразу, без отладки.
    Последний раз редактировалось tomtit; 24.08.2022 в 22:02.

  10. #429
    Завсегдатай Аватар для dortonyan
    Регистрация
    03.06.2009
    Адрес
    BLR
    Возраст
    38
    Сообщений
    3,224

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    Цитата Сообщение от tomtit Посмотреть сообщение
    Для малобитного выхода точность увеличивается за счёт усреднения большого к-ва различающихся отсчетов - отсюда ВЧ шум, он принципиален, если его нет или не хватает, его добавляют искусственно, как дизеринг.
    Попробовал на 8..14 бит ЦАПе увеличивать шум до +/- 8..16 LSB (т.е. во много раз больше диф. нединейностей), без увеличения петлевого, т.к. шум дизера и так ниже полки. Что-то по DNL особо не видно разницы. Попробовал с треугольным распределением (до этого у меня был с равномерным) - то же самое.
    В общем ровнять DNL дизерингом - так себе решение. Походу надо либо делать нормальный линейный квантователь, либо использовать однобитный выход.

    Цитата Сообщение от tomtit Посмотреть сообщение
    Обычно FPGA работала сразу, без отладки.
    То что работает понятно, а что с линейностью получается? Удается ли на лог. триггерах на выходе получить хорошую линейность?

  11. #430
    Старый знакомый
    Автор темы
    Аватар для tomtit
    Регистрация
    23.06.2009
    Адрес
    пгт.Торонтовка
    Возраст
    65
    Сообщений
    951

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    Цитата Сообщение от dortonyan Посмотреть сообщение
    В общем ровнять DNL дизерингом - так себе решение. Походу надо либо делать нормальный линейный квантователь, либо использовать однобитный выход.
    Удается ли на лог. триггерах на выходе получить хорошую линейность?
    Я тоже нарвался с TDA1541. Шанс есть только с субтрактивным дизерингом очень большой амплитуды.
    Типа два канала, где сигнал противофазный а дизеринг синфазный и вычитать в аналоге.

    Там где использовал СДМ по работе, линейность не была в фаворе, нужно было попроще да подешевле.

    Тут на Вегалабе, Wired сделал однобитник на старом Циррозе с выходом на триггерах, как в статье Бруно. Вроде всё нормально, судя по графикам.

  12. #431
    Завсегдатай Аватар для sia_2
    Регистрация
    18.07.2005
    Сообщений
    4,009

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    Цитата Сообщение от tomtit Посмотреть сообщение
    Я тоже нарвался с TDA1541. Шанс есть только с субтрактивным дизерингом очень большой амплитуды.
    Типа два канала, где сигнал противофазный а дизеринг синфазный и вычитать в аналоге.

    Там где использовал СДМ по работе, линейность не была в фаворе, нужно было попроще да подешевле.

    Тут на Вегалабе, Wired сделал однобитник на старом Циррозе с выходом на триггерах, как в статье Бруно. Вроде всё нормально, судя по графикам.
    Причем, учитывая сегментную структуру большинства мультибитных ЦАП, размах субтрактивного RPDF дитеринга желательно выбирать сравнимым с размерами сегмента, чтобы эффективно "размазать" стыки сегментов. Ну и надо помнить, что эффект снижения DNL пропорционален лишь корню (а не первой степени) размаха дитеринга и коэффициента передискретизации. Законы статистики-с.
    В промышленном аудио субтрактивный дитеринг большой амплитуды применялся, в частности, в ЦАП пульта Sony Oxford.
    Последний раз редактировалось sia_2; 25.08.2022 в 08:00.

  13. #432
    Старый знакомый
    Автор темы
    Аватар для tomtit
    Регистрация
    23.06.2009
    Адрес
    пгт.Торонтовка
    Возраст
    65
    Сообщений
    951

    По умолчанию

    Есть ещё один интересный вопрос, на который я пока не нашел ответа в литературе.
    NTF модулятора можно ведь спроектировать и не так, как написано в учебниках.
    Можно ограничить добротность нулей, не располагать их на мнимой оси,
    добротность полюсов сделать вообще <1, обойтись минимумом комплексно-сопряжённых пар.
    В результате получить очень гладкую фЧX, возможно более высокого порядка, чем минимально необходимая.
    Я проделал один раз этот нелёгкий труд, проектируя самоосциллирующий модулятор.
    Результат получен весьма неплохой, но гораздо сложнее в реализации и проектировании.
    В каком случае это оправдано?
    Последний раз редактировалось tomtit; 25.08.2022 в 15:56.

  14. #433
    Завсегдатай Аватар для sia_2
    Регистрация
    18.07.2005
    Сообщений
    4,009

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    Навскидку, давить добротности имеет смысл, когда нужна минимизация "хвостов" автокорреляционной функции модулятора.

  15. #434
    Старый знакомый
    Автор темы
    Аватар для tomtit
    Регистрация
    23.06.2009
    Адрес
    пгт.Торонтовка
    Возраст
    65
    Сообщений
    951

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    Цитата Сообщение от sia_2 Посмотреть сообщение
    Навскидку, давить добротности имеет смысл, когда нужна минимизация "хвостов" автокорреляционной функции модулятора.
    Буду очень признателен за подсказку, как смоделировать и измерить эффект хвоста АКФ.
    К сожалению не имею опыта анализа таких вещей. По жизни еще не сталкивался с этим.
    Какой должен быть тест-сигнал и на что надо обратить внимание?

  16. #435
    Завсегдатай Аватар для sia_2
    Регистрация
    18.07.2005
    Сообщений
    4,009

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    Первое, что приходит на ум - автокорелляционная функция выхода модулятора. Насколько она быстро затухает до уровней -80...-120 дБ.

  17. #436
    Старый знакомый
    Автор темы
    Аватар для tomtit
    Регистрация
    23.06.2009
    Адрес
    пгт.Торонтовка
    Возраст
    65
    Сообщений
    951

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    Цитата Сообщение от sia_2 Посмотреть сообщение
    Первое, что приходит на ум - автокорелляционная функция выхода модулятора. Насколько она быстро затухает до уровней -80...-120 дБ.
    Ну, допустим подаём в качестве тест-сигнала синус. АКФ будет видимо как-то похожа на импульсный отклик БИХ ФНЧ, (возможно уже кое-что позабыл из основ). Как отличить плохую от хорошей?

  18. #437
    Завсегдатай Аватар для sia_2
    Регистрация
    18.07.2005
    Сообщений
    4,009

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    Цитата Сообщение от tomtit Посмотреть сообщение
    Ну, допустим подаём в качестве тест-сигнала синус. АКФ будет видимо как-то похожа на импульсный отклик БИХ ФНЧ, (возможно уже кое-что позабыл из основ). Как отличить плохую от хорошей?
    Лучше бы не синус, а белый шум с ограниченной гауссовским фильтром полосой. Плохая - та, у которой долго тянется заметный отклик, и норовит осциллировать. Попросту, вопрос переходной характеристики модулятора и ее стабильности как функции сигнала и его предыстории. Долгий и нестабильный остаток от предыдущих сигналов здорово портит работу всяких алгоритмов обратного восстановления картинки из проекций. Ессно, в аудио на все эти нюансы можно не обращать внимание - отклик помещения и переходные процессы в АС на порядки значительнее.

  19. #438
    Старый знакомый
    Автор темы
    Аватар для tomtit
    Регистрация
    23.06.2009
    Адрес
    пгт.Торонтовка
    Возраст
    65
    Сообщений
    951

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    То есть, если я правильно понял, это проверка «динамической линейности» в некотором роде?

  20. #439
    Завсегдатай Аватар для sia_2
    Регистрация
    18.07.2005
    Сообщений
    4,009

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    Цитата Сообщение от tomtit Посмотреть сообщение
    То есть, если я правильно понял, это проверка «динамической линейности» в некотором роде?
    Скорее сочетания быстрого установления и его стабильности. Понятное дело, что при петлевом усилении порядка 60 дБ дифференциальный коэффициент усиления заметно меняется в зависимости от предыстории, несмотря на SNR далеко за 100 дБ.

  21. #440
    Завсегдатай Аватар для dortonyan
    Регистрация
    03.06.2009
    Адрес
    BLR
    Возраст
    38
    Сообщений
    3,224

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    Цитата Сообщение от sia_2 Посмотреть сообщение
    Что-то странное. И у Сони, и в одном из моих проектов он точно работал со сдвигом на 1 бит, как в коде, значит ошибка или у меня при выдирании и упрощении кода (упрощение состояло во введении простого округления при сдвигах вправо вместо корректного дитеринга) или в тестбенче. Я специально проверю это в ближайшее время.
    Запустил таки этот модулятор. Сначала попробовал задать точные округления - не помогло. Потом перерисовал схему модулятора в точности по вашему верилоговскому файлу, и все заработало с гейном 2 на выходе квантователя.
    Спектр сигнала 1к, 20bit, оверсемплинг x16:
    Нажмите на изображение для увеличения. 

Название:	изображение_2022-08-28_223629757.png 
Просмотров:	108 
Размер:	48.5 Кб 
ID:	424523
    Вся разница, что в моем изначальном варианте сигналы брались с выходов триггеров (как на картинке), а в вашем варианте суммируются сигналы со входов триггеров. Хотя теоретически разницы быть не должно, но она почему-то есть. То ли ошибки округления при суммировании накапливаются по разному, то ли что-то еще.

Страница 22 из 32 Первая ... 122021222324 ... Последняя

Социальные закладки

Социальные закладки

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •