Страница 29 из 32 Первая ... 192728293031 ... Последняя
Показано с 561 по 580 из 638

Тема: Дилетантский вопрос про sigma-delta

  1. #1 Показать/скрыть первое сообщение.
    Старый знакомый
    Автор темы
    Аватар для tomtit
    Регистрация
    23.06.2009
    Адрес
    пгт.Торонтовка
    Возраст
    65
    Сообщений
    951

    Вопрос Дилетантский вопрос про sigma-delta

    Нажмите на изображение для увеличения. 

Название:	sdm4-20bx256.gif 
Просмотров:	2569 
Размер:	12.4 Кб 
ID:	134944
    Вот наскоро сочинил примитивную модель однобитного сигма-дельта модулятора 4-го порядка,
    подал на вход синус 20-бит проапсэмпленный до 256*Fs.
    Смотрите что получилось ...
    Почему никто не использует столь простой подход ?
    Ищем грабли ..., учим теорию.
    Последний раз редактировалось tomtit; 29.05.2013 в 02:03.

  2. #561
    Завсегдатай Аватар для Semigor
    Регистрация
    21.01.2004
    Адрес
    Ижевск
    Возраст
    67
    Сообщений
    3,551

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    Цитата Сообщение от dortonyan Посмотреть сообщение
    Но т.к. формат cdda ради нас никто менять не будет, то на этом можно и успокоиться.
    Мне не для звука. Просто надо получить с ПЛИС синус частотой 5...16 кГц с возможностью регулировки амплитуды хотя бы 40дБ при отношении сигнал-шум не менее 60дБ.

    Цитата Сообщение от dortonyan Посмотреть сообщение
    Ну отлистните хотя бы страничку назад.
    Спасибо, понял о чем Вы. Я не учел действие дитеринга.
    транзисторный однотакт любой модификации -это масло сливочное (с) FEDGEN

  3. #562
    Завсегдатай Аватар для dortonyan
    Регистрация
    03.06.2009
    Адрес
    BLR
    Возраст
    38
    Сообщений
    3,224

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    Цитата Сообщение от Semigor Посмотреть сообщение
    Мне не для звука. Просто надо получить с ПЛИС синус частотой 5...16 кГц с возможностью регулировки амплитуды хотя бы 40дБ при отношении сигнал-шум не менее 60дБ.
    А зачем тогда нужна гладкая ПХ? На синусе ее все равно не видно.

    Цитата Сообщение от Semigor Посмотреть сообщение
    Спасибо, понял о чем Вы. Я не учел действие дитеринга.
    Дело не в дитеринге, а в интеграле Боде в схемах с ООС (модулятор это же усилитель с ООС): условно спектр нелинейностей в звуковой полосе переносится в спектр шума на более высокие частоты. Без дитеринга спектр шума будет такой же.

  4. #563
    Завсегдатай Аватар для Semigor
    Регистрация
    21.01.2004
    Адрес
    Ижевск
    Возраст
    67
    Сообщений
    3,551

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    Цитата Сообщение от dortonyan Посмотреть сообщение
    А зачем тогда нужна гладкая ПХ? На синусе ее все равно не видно.
    При резком изменении амплитуды синуса еще как видно.

    Цитата Сообщение от dortonyan Посмотреть сообщение
    Дело не в дитеринге, а в интеграле Боде в схемах с ООС (модулятор это же усилитель с ООС): условно спектр нелинейностей в звуковой полосе переносится в спектр шума на более высокие частоты. Без дитеринга спектр шума будет такой же.
    Не думал об этом, спасибо, поразбираюсь.
    транзисторный однотакт любой модификации -это масло сливочное (с) FEDGEN

  5. #564
    Старый знакомый
    Автор темы
    Аватар для tomtit
    Регистрация
    23.06.2009
    Адрес
    пгт.Торонтовка
    Возраст
    65
    Сообщений
    951

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    Купил на Али демо-плату на Спартане6. Залил туда два своих последних сигма-дельта - самоосциллирующий,
    а потом и ШИМ 8-го порядка. В качестве восстанавливающего фильтра использовал RC 1к2 и 4н7.
    Забавно, но дрыгая одной ножкой ФПГА через RC, можно получить спектр лучше, чем дает TDA1541 на идеальной 4-слойной плате.

    Тут и ВЧ неподавленная и джиттер конский и опора никакая - 3.3В грязного цифрового питания, но результат впечатляющий.

    Самоосциллирующий, забыл включить усреднение:
    Нажмите на изображение для увеличения. 

Название:	etx-rc.png 
Просмотров:	108 
Размер:	17.3 Кб 
ID:	434065
    ШИМ 8-го порядка:
    Нажмите на изображение для увеличения. 

Название:	sk8-rc.png 
Просмотров:	122 
Размер:	11.8 Кб 
ID:	434066

    Видно большую 2-ю гармонику. Это из-за модуляции опоры (цифрового 3.3В)
    током питания ФПГА. Модулятор не может генерить четных гармоник из принципа.
    Шумовая полка определяется грязной цифровой землей.
    Собственный шум АЦП на 384к где-то около -120дБ.
    Последний раз редактировалось tomtit; 06.04.2023 в 02:38.

  6. #565
    Завсегдатай Аватар для dortonyan
    Регистрация
    03.06.2009
    Адрес
    BLR
    Возраст
    38
    Сообщений
    3,224

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    Цитата Сообщение от tomtit Посмотреть сообщение
    Видно большую 2-ю гармонику. Это из-за модуляции опоры (цифрового 3.3В)
    током питания ФПГА. Модулятор не может генерить четных гармоник из принципа.
    Шумовая полка определяется грязной цифровой землей.
    Собственный шум АЦП на 384к где-то около -120дБ.
    А чего сразу дифференциальный выход не попробовали?
    Я подобным образом пробовал выводить с одного пина на наушники, без фильтра. Шум получился хуже чем у дешевого кассетника.
    Получается что с качественным питанием из ФПГА тоже можно выдавить что-то приличное. А если еще добавить внешний 4-бит триггер, и сделать перекрестное диф. включение (как в dsd1700) с суммированием на токовом входе ОУ, то вообще получается хайенд ЦАП. У меня пока такой только в планах.

  7. #566
    Старый знакомый
    Автор темы
    Аватар для tomtit
    Регистрация
    23.06.2009
    Адрес
    пгт.Торонтовка
    Возраст
    65
    Сообщений
    951

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    Цитата Сообщение от dortonyan Посмотреть сообщение
    А чего сразу дифференциальный выход не попробовали?
    Я подобным образом пробовал выводить с одного пина на наушники, без фильтра. Шум получился хуже чем у дешевого кассетника.
    Получается что с качественным питанием из ФПГА тоже можно выдавить что-то приличное. А если еще добавить внешний 4-бит триггер, и сделать перекрестное диф. включение (как в dsd1700) с суммированием на токовом входе ОУ, то вообще получается хайенд ЦАП. У меня пока такой только в планах.
    На выходе у меня будет дифференциальный ФИРДАК. Это снижает чувствительность к джиттеру клока и сильно уменьшает требования к восстанавливающему фильтру и ключам. Нелинейность ключей не играет роли, поскольку последовательно с каждым ключом включен резистор 5-10к, на их фоне сопротивление ключа ~10R уже не играет роли.
    I/V, как такового, тоже не будет, а будет ФНЧ с пассивным емкостным низкоимпедансным входом, поэтому ВЧ на входе ОУ сильно подавлено и можно применить обычный аудио ОУ, например 1656. Поставлю два отдельных ФНЧ и вычитатель для поддержки RCA выхода.

  8. #567
    Завсегдатай Аватар для dortonyan
    Регистрация
    03.06.2009
    Адрес
    BLR
    Возраст
    38
    Сообщений
    3,224

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    Цитата Сообщение от tomtit Посмотреть сообщение
    На выходе у меня будет ФИРДАК.
    На 595 логике?

    Цитата Сообщение от tomtit Посмотреть сообщение
    I/V как такового тоже не будет, а будет ФНЧ с пассивным емкостным низкоимпедансным входом, поэтому ВЧ на входе ОУ не будет и можно будет поставить, например 1656.
    Так в ИУ помех по входу ОУ тоже немного, если в ООС достаточно большая емкость. У того же 1656 даже на частотах, где петлевое усиление падает, выходной импеданс достаточно низкий для шунтирования помех со входа.

  9. #568
    Старый знакомый
    Автор темы
    Аватар для tomtit
    Регистрация
    23.06.2009
    Адрес
    пгт.Торонтовка
    Возраст
    65
    Сообщений
    951

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    Цитата Сообщение от dortonyan Посмотреть сообщение
    На 595 логике?


    Так в ИУ помех по входу ОУ тоже немного, если в ООС достаточно большая емкость. У того же 1656 даже на частотах, где петлевое усиление падает, выходной импеданс достаточно низкий для шунтирования помех со входа.
    Выходной импеданс ОУ индуктивный, особенно у R-R выхода, поэтому не хочу допускать даже кратковременную перегрузку входа.
    595 использовать не буду, на 8бит только 2 ноги питания, будет создавать синфазную ВЧ помеху, не хочу иметь четные гармоники, как на моём макете.
    Был бы он дифференциальный в QFN, тогда бы подумал.

  10. #569
    Завсегдатай Аватар для dortonyan
    Регистрация
    03.06.2009
    Адрес
    BLR
    Возраст
    38
    Сообщений
    3,224

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    Цитата Сообщение от tomtit Посмотреть сообщение
    Был бы он дифференциальный в QFN, тогда бы подумал.
    Дифференциально - само-собой, по два корпуса 595 на канал.
    А если не 595, то на чем тогда? Прямо на ФПГА?

  11. #570
    Старый знакомый
    Автор темы
    Аватар для tomtit
    Регистрация
    23.06.2009
    Адрес
    пгт.Торонтовка
    Возраст
    65
    Сообщений
    951

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    Цитата Сообщение от dortonyan Посмотреть сообщение
    Дифференциально - само-собой, по два корпуса 595 на канал.
    А если не 595, то на чем тогда? Прямо на ФПГА?
    В Mola-mola даке Бруно применил аж 32 дифференциальных 1G триггера на канал. Видимо, старался минимизировать эффекты паразитной индуктивности цепей питания. Вроде ему всё удалось.

  12. #571
    Завсегдатай Аватар для Semigor
    Регистрация
    21.01.2004
    Адрес
    Ижевск
    Возраст
    67
    Сообщений
    3,551

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    Запустил сегодня макет ФНЧ для однобитной дельта-сигмы. Может кому пригодится.
    Миниатюры Миниатюры Нажмите на изображение для увеличения. 

Название:	LPF_DS.jpg 
Просмотров:	184 
Размер:	90.0 Кб 
ID:	434793  
    транзисторный однотакт любой модификации -это масло сливочное (с) FEDGEN

  13. #572
    Частый гость Аватар для карабас123
    Регистрация
    31.12.2007
    Адрес
    Ижевск
    Возраст
    59
    Сообщений
    114

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    Ой, ОСы

  14. #573
    Завсегдатай Аватар для Semigor
    Регистрация
    21.01.2004
    Адрес
    Ижевск
    Возраст
    67
    Сообщений
    3,551

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    Так это ж не для звука Для звука надо обычный дифкаскад поставить.
    транзисторный однотакт любой модификации -это масло сливочное (с) FEDGEN

  15. #574
    Старый знакомый
    Автор темы
    Аватар для tomtit
    Регистрация
    23.06.2009
    Адрес
    пгт.Торонтовка
    Возраст
    65
    Сообщений
    951

    По умолчанию Re: Дилетантский вопрос про sigma-d

    Во многих случаях требуется «медленная» арифметика. Например для цифровой регулировки громкости на один сэмпл может проходится 256-1024 периода тактовой частоты. В таких случаях сильно помогают малоразрядные последовательные вычисления. Удаётся сильно экономить критичные ресурсы ПЛИС,
    такие как, например, схемы ускоренного переноса и межсоединения. Благодаря применению однобитной арифметики иногда можно даже поднять вычислительную мощность устройства в целом.
    Последний раз редактировалось tomtit; 25.04.2023 в 16:53.

  16. #575
    Частый гость Аватар для s_valentin
    Регистрация
    14.12.2006
    Адрес
    Магнитогорск
    Возраст
    43
    Сообщений
    138

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    Вопрос дилетанта...
    Существует ли математический (аналитический, не эмпирический) критерий определения макисмальной глубины модуляции СДМ (особенно 1-битного)?
    Как оптимизировать модель СДМ для достижения глубины 0.8-0.85 (как советует sia2)?

  17. #576
    Старый знакомый
    Автор темы
    Аватар для tomtit
    Регистрация
    23.06.2009
    Адрес
    пгт.Торонтовка
    Возраст
    65
    Сообщений
    951

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    Цитата Сообщение от s_valentin Посмотреть сообщение
    Вопрос дилетанта...
    Существует ли математический (аналитический, не эмпирический) критерий определения макисмальной глубины модуляции СДМ (особенно 1-битного)?
    Как оптимизировать модель СДМ для достижения глубины 0.8-0.85 (как советует sia2)?
    Не существует, пока только численный эксперимент.
    Если пытаться найти границу устойчивости в зависимости от коэффициентов, то получим очень сложную многомерную фигуру с почти фрактальной поверхностью.

    Но у меня получалось предсказывать достаточно хорошо, просто строя Боде диаграмму для петлевого фильтра. СДМ - это всего лишь мат. модель сверглубокоосного усилителя с нелинейным выходным каскадом.
    Для 0.8 и выше надо обеспечивать запас по фазе > 60 градусов. При этом очень сильно сужается рабочая полоса модулятора.

    Обычно делаю так, чтобы 0.5 соответствовало 0дБ. При бОльшей модуляции довольно быстро растут нечетные гармоники и другие неприятные вещи.
    Например, Ларс Рисбо в своей PHD диссертации использовал 32х, 8-ой порядок и 0.35 максимальную модуляцию. Правда это было давно, ещё в прошлом веке. По-моему, с тех пор никакого прогресса не было.

    ---------- Сообщение добавлено 15:15 ---------- Предыдущее сообщение было 13:36 ----------

    Нажмите на изображение для увеличения. 

Название:	osc.png 
Просмотров:	141 
Размер:	1.23 Мб 
ID:	436286
    Вот так выглядит работающий макет моего самоосциллирующего на частоте 2.3 МГц DAC. Сам модулятор работает на х768. Первый каскад ЦФ-интерполятора умножает на 12, а второй на 64. Можно было бы сделать и х1024, но под рукой только
    двухчастотный SI552 на 33/36 МГц.

    Это китайская демоборда для XC6SLX9. Сбоку приклеены кусочки плат с генератором клока и ТОСЛИНК приёмником. Помучился немного с PLL в Спартане6, оказалось сильно отличается от 3-го.
    Это единственное место, чувствительное к типу ПЛИС, поскольку при переходе из сетки 44 в 48 и обратно, ПЛИС переключает свой собственный клок. Как конкретно ведёт себя при этом внутренняя ПЛЛ, зависит от фантазии разработчика микросхемы.

    Недавно из любопытства подключил наушники прямо через RC - цепочку к однобитному выходу. Играет конечно тихо, но очень чисто.

    Если буду делать макет аналоговой части, то придётся сгородить
    вторым этажом.
    Последний раз редактировалось tomtit; 12.05.2023 в 17:05.

  18. #577
    Частый гость Аватар для s_valentin
    Регистрация
    14.12.2006
    Адрес
    Магнитогорск
    Возраст
    43
    Сообщений
    138

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    Спасибо!
    Запас по фазе это уже критерий.
    По классическому усилителю понятно - нужно выполнить условие Найквиста для устойчивости и обеспечить непрерывность передаточной функции, т.е. взаимную однозначность входного и выходного сигнала, пусть и нелинейную. Но, насколько я понимаю, в однобитнике передаточная (амплитудная) функция принципиально с разрывом. Тут вот понимания пока нет. Мне больше представлялось, что 1бит СДМ, это усилитель шума, модулированного входным сигналом....

  19. #578
    Старый знакомый
    Автор темы
    Аватар для tomtit
    Регистрация
    23.06.2009
    Адрес
    пгт.Торонтовка
    Возраст
    65
    Сообщений
    951

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    Цитата Сообщение от s_valentin Посмотреть сообщение
    Но, насколько я понимаю, в однобитнике передаточная (амплитудная) функция принципиально с разрывом..
    усредненный/отфильтрованный выход/сигнал ошибки достаточно гладкий и однозначный. А петлевой фильтр как раз выполняет эту функцию.
    Ну и наконец, двоичный выход брать именно с выхода мат. модели совершенно необязательно.
    Можно же отфильтровать выход компаратора и использовать только гладкие многобитные сигналы внутри оставшейся части модели. У меня есть проект, где сигнал в однобитной форме присутствует только в одной точке где-то в середине пути к виртуальному выходу модели. Но если система в целом линейна, выведя его наружу, получаем однобитный ЦАП.

    И обратный пример тоже работает, аналоговый усилитель в классе Д может быть использован, как сигма-дельта АЦП. Надо лишь пропустить его однобитный выход через цифровой ФНЧ.
    Последний раз редактировалось tomtit; 12.05.2023 в 17:11.

  20. #579
    Частый гость Аватар для s_valentin
    Регистрация
    14.12.2006
    Адрес
    Магнитогорск
    Возраст
    43
    Сообщений
    138

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    Ну давайте немного помоделируем упоминавшийся здесь 1-битный СДМ SONY 5-го порядка.
    Нажмите на изображение для увеличения. 

Название:	SONY SDM.PNG 
Просмотров:	50 
Размер:	10.3 Кб 
ID:	436338

    Частоту дискретизации возьмем 64*44100 Гц.
    Для линейной модели заменим квантователь на сумматор, в который будет вводиться шум квантования.
    Составим уравнения для дискретной модели (z-пространство), преобразуем их комплесное s-пространство и получим АЧХ и ФЧХ.
    Усилительная часть (от входа до квантователя):
    Нажмите на изображение для увеличения. 

Название:	HHz.PNG 
Просмотров:	40 
Размер:	3.1 Кб 
ID:	436340
    Передачтоные функции по шуму, сигналу и петлевого:
    Нажмите на изображение для увеличения. 

Название:	NTF STF OLTF.PNG 
Просмотров:	44 
Размер:	12.2 Кб 
ID:	436341
    В s-пространство и АЧХ:
    Нажмите на изображение для увеличения. 

Название:	Hs NTF STF OLTF.PNG 
Просмотров:	44 
Размер:	4.2 Кб 
ID:	436342
    АЧХ NTF:
    Нажмите на изображение для увеличения. 

Название:	NTF FR.png 
Просмотров:	49 
Размер:	13.4 Кб 
ID:	436343
    АЧХ STF:
    Нажмите на изображение для увеличения. 

Название:	STF FR.png 
Просмотров:	42 
Размер:	6.0 Кб 
ID:	436344
    АЧХ петли:
    Нажмите на изображение для увеличения. 

Название:	OLTF FR.png 
Просмотров:	42 
Размер:	6.8 Кб 
ID:	436345
    ФЧХ петли:
    Нажмите на изображение для увеличения. 

Название:	OLTF PhR.png 
Просмотров:	36 
Размер:	6.3 Кб 
ID:	436346

    NTF имеет 3 нуля (0, +-9.9i кГц, +-19.9i кГц) и 3 полюса, два комплексно-сопряженных (p1=73.7кГц Q=1.02, p2=74.1кГц Q=0,61) и один вещественный (p3=457кГц Q=0.5).
    Частота единичного усиления в петле 754.7кГц, запас по фазе ~33град.

    NTF на вч -4.3дБ
    Пик NTF в звуковой полосе на частоте 16.3кГц составляет -96дБ
    STF на вч имеет подъем до 5.06дБ

  21. #580
    Завсегдатай Аватар для sia_2
    Регистрация
    18.07.2005
    Сообщений
    4,009

    По умолчанию Re: Дилетантский вопрос про sigma-delta

    очень наглядно. Кстати, такой модулятор очень сильно выигрывает от не однобитного, а многоуровневого квантователя. Уже 4...8 уровней делают из него просто конфетку.

Страница 29 из 32 Первая ... 192728293031 ... Последняя

Социальные закладки

Социальные закладки

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •