Страница 396 из 517 Первая ... 386394395396397398406 ... Последняя
Показано с 7,901 по 7,920 из 10338

Тема: ЦАП своими руками (пятая ветка)

  1. #1 Показать/скрыть первое сообщение.
    Регистрация не подтверждена
    Автор темы
    Аватар для Kompros
    Регистрация
    11.03.2003
    Сообщений
    1,405

    По умолчанию ЦАП своими руками (пятая ветка)


  2. #7901
    Забанен (навсегда) Аватар для Эзотехник
    Регистрация
    10.10.2004
    Адрес
    Ru
    Сообщений
    1,294

    По умолчанию Re: ЦАП своими руками (пятая ветка)

    Цитата Сообщение от VladimirV Посмотреть сообщение
    Дельта-сигма не умеет передавать DC
    Умеет: "LTC2483 16-битные дельта-сигма АЦП постоянного тока с архитектурой Easy Drive"

    Цитата Сообщение от pyos Посмотреть сообщение
    Например, гигабитный семплрейт они выдать не в состоянии.
    В состоянии, но дорого и узкополосненько.
    A 1 GHz Bandwidth Low-Pass ADC With 20–50 GHz Adjustable Sampling Rate
    И в чём-то могут ошибаться, что при посылках данных несущественно - можно перезапросить.

    ---------- Сообщение добавлено 19:18 ---------- Предыдущее сообщение было 19:15 ----------

    Цитата Сообщение от pyos Посмотреть сообщение
    Зато по точности преобразования даже получше будут.
    ага, ALL missing codes после 5-кратного шумоформирования.

  3. #7902
    Не хочу! Аватар для Alex
    Регистрация
    20.03.2003
    Адрес
    Worldwide
    Возраст
    61
    Сообщений
    36,132

    По умолчанию Re: ЦАП своими руками (пятая ветка)

    Цитата Сообщение от VladimirV Посмотреть сообщение
    . Дельта-сигма не умеет передавать DC,
    Это зоотезник брехал что не умеет. На самом деле конечно умеет.

    Нажмите на изображение для увеличения. 

Название:	ad7768.png 
Просмотров:	183 
Размер:	88.0 Кб 
ID:	359359Нажмите на изображение для увеличения. 

Название:	ads127.png 
Просмотров:	229 
Размер:	46.9 Кб 
ID:	359360
    "Замполит, чайку?"(с)"Охота за Красным Октябрем".
    "Ну что, можете меняться обратно."(с)типа анек.
    <-- http://altor1.narod.ru --> Вопросы - в личку, е-мейл, скайп.

  4. #7903
    Забанен (навсегда)
    Регистрация
    30.09.2006
    Сообщений
    2,097

    По умолчанию Re: ЦАП своими руками (пятая ветка)

    Подскажите, ккак сконфигурировать SRC4192 в режим пересенихронизатора без передискретизации? bypass - вкл., вход или выход во что, ведущий или ведомый? И будет ли иметь значение задание вых. формата при обходе?

  5. #7904
    Завсегдатай Аватар для maxssau
    Регистрация
    15.08.2015
    Адрес
    Самара
    Возраст
    39
    Сообщений
    2,608

    По умолчанию Re: ЦАП своими руками (пятая ветка)

    а что нужно получить? FIFO? если без ASRC то скорее всего придется логикой выбора режима входа и выхода управлять, а для этого хотя бы простейший tiny придется ставить

    или как вариант сконфигурировать оба порта в slave, но тогда на выходе придется всё равно ставить плис с контроллером. вообщем наверное проще тини рядом поставить

    для fifo я бы лучше использовал AD1896, в даташите указано наличие буфера на 512 слов. В даташите на 4192 это явно не указывается
    _________________
    Евгений

  6. #7905
    Не хочу! Аватар для Alex
    Регистрация
    20.03.2003
    Адрес
    Worldwide
    Возраст
    61
    Сообщений
    36,132

    По умолчанию Re: ЦАП своими руками (пятая ветка)

    Цитата Сообщение от maxssau Посмотреть сообщение
    наличие буфера на 512 слов.
    А хватит?
    "Замполит, чайку?"(с)"Охота за Красным Октябрем".
    "Ну что, можете меняться обратно."(с)типа анек.
    <-- http://altor1.narod.ru --> Вопросы - в личку, е-мейл, скайп.

  7. #7906
    Завсегдатай Аватар для maxssau
    Регистрация
    15.08.2015
    Адрес
    Самара
    Возраст
    39
    Сообщений
    2,608

    По умолчанию Re: ЦАП своими руками (пятая ветка)

    Цитата Сообщение от Alex Посмотреть сообщение
    А хватит?
    нет конечно, поэтому лучше включить ASRC
    _________________
    Евгений

  8. #7907
    Старый знакомый Аватар для Mic Dragon
    Регистрация
    12.11.2007
    Адрес
    С-Петербург
    Возраст
    54
    Сообщений
    601

    По умолчанию Re: ЦАП своими руками (пятая ветка)

    С SA9023 работал кто-нибудь? Взял на пробу пару китайских плат SA9023+ES9018K2M - все работает но при остановке воспроизведения в середине трека через долю секунды проходит щелчок, случайного уровня. Если остановить во время тишины или паузы - щелчка нет. Подключение к внешним ЦАПам по обеим шинам - проблему не решило. Осциллографом - по I2S и SPDIF проходит какая-то гадость. От режима DS/ASIO и смены проигрывателя тоже не завистит.
    С уважением, Михаил Янковский.

  9. #7908
    Завсегдатай Аватар для Meta|_
    Регистрация
    08.03.2005
    Адрес
    Северная Голландия
    Возраст
    40
    Сообщений
    1,989

    По умолчанию Re: ЦАП своими руками (пятая ветка)

    Цитата Сообщение от Mic Dragon Посмотреть сообщение
    в середине трека через долю секунды проходит щелчок, случайного уровня
    Видимо так они борются с постоянным напряжением на выходе. Недавно в какой-то ветке обсуждали этот вопрос...
    ∇·D = ρ
    ∇·B = 0
    ∇xE = – ∂B/∂t
    ∇xH = j + ∂D/∂t
    © J. C. Maxwell, O. Heaviside

  10. #7909
    Не хочу! Аватар для Alex
    Регистрация
    20.03.2003
    Адрес
    Worldwide
    Возраст
    61
    Сообщений
    36,132

    По умолчанию Re: ЦАП своими руками (пятая ветка)

    Цитата Сообщение от Meta|_ Посмотреть сообщение
    Видимо так они борются с постоянным напряжением на выходе.
    Не думаю.
    "Замполит, чайку?"(с)"Охота за Красным Октябрем".
    "Ну что, можете меняться обратно."(с)типа анек.
    <-- http://altor1.narod.ru --> Вопросы - в личку, е-мейл, скайп.

  11. #7910
    Старый знакомый Аватар для Mic Dragon
    Регистрация
    12.11.2007
    Адрес
    С-Петербург
    Возраст
    54
    Сообщений
    601

    По умолчанию Re: ЦАП своими руками (пятая ветка)

    С постоянной там проблем нет, и если трек играет целиком или встаёт на паузу то тоже все нормально. Ненормально реагирует только если оборвать воспроизведение, если смотреть при этом осциллографом то сначала как и положено ноль, потом проходит импульс и потом снова ноль. И ещё раз подчеркну - глючит не ЦАП а USB интерфейс.
    С уважением, Михаил Янковский.

  12. #7911
    Забанен (навсегда)
    Регистрация
    30.09.2006
    Сообщений
    2,097

    По умолчанию Re: ЦАП своими руками (пятая ветка)

    Цитата Сообщение от maxssau Посмотреть сообщение
    а что нужно получить? FIFO? если без ASRC то скорее всего придется логикой выбора режима входа и выхода управлять, а для этого хотя бы простейший tiny придется ставить
    Так 4192 в режиме асинхронного буфера fifo может работать или только в режиме передискретизации этот режим доступен? Цель сделать простую пересинхронизацию, по аналогии с синхронной защёлкой.

    Если ещё надо повесить плис и мк, то уж лучше тогда применить сразу какой-нить готовый буфер fifi:
    https://www.chipdip.ru/product1/8001221025
    https://www.chipdip.ru/product/7204l...nc-dual-uni-di

    Но проблема перепелнения/опустошения всё равно останется?

  13. #7912
    Завсегдатай Аватар для maxssau
    Регистрация
    15.08.2015
    Адрес
    Самара
    Возраст
    39
    Сообщений
    2,608

    По умолчанию Re: ЦАП своими руками (пятая ветка)

    Цитата Сообщение от Dieselboy Посмотреть сообщение
    Но проблема перепелнения/опустошения всё равно останется?
    конечно, тактовые частоты на входе и выходе не могут быть абсолютно одинаковыми. я Вам рекомендую просто включить ASRC
    _________________
    Евгений

  14. #7913
    Забанен (навсегда)
    Регистрация
    30.09.2006
    Сообщений
    2,097

    По умолчанию Re: ЦАП своими руками (пятая ветка)

    А как у XMOS переполнение/опустошение решено? Там есть обратный обмен с источником данных? У ЧиД STM32 преобразователей I2S и внешним тактом такое тоже есть или возможно наступление..?

  15. #7914
    Завсегдатай Аватар для maxssau
    Регистрация
    15.08.2015
    Адрес
    Самара
    Возраст
    39
    Сообщений
    2,608

    По умолчанию Re: ЦАП своими руками (пятая ветка)

    в XMOS асинхронная передача, нет там переполнения.

    ---------- Сообщение добавлено 18:32 ---------- Предыдущее сообщение было 18:27 ----------

    если интересно. как это выглядит за кулисами, то можно почитать вот это

    https://www.farnell.com/datasheets/1738852.pdf

    ---------- Сообщение добавлено 18:41 ---------- Предыдущее сообщение было 18:32 ----------

    Цитата Сообщение от Dieselboy Посмотреть сообщение
    У ЧиД STM32 преобразователей I2S и внешним тактом такое тоже есть или возможно наступление..?
    а у них скорее всего UAC 1 и синхронная передача
    _________________
    Евгений

  16. #7915
    Завсегдатай Аватар для Meta|_
    Регистрация
    08.03.2005
    Адрес
    Северная Голландия
    Возраст
    40
    Сообщений
    1,989

    По умолчанию Re: ЦАП своими руками (пятая ветка)

    Есть документ и посвежее: https://www.xmos.com/download/sw_usb...6.1alpha1).pdf
    ∇·D = ρ
    ∇·B = 0
    ∇xE = – ∂B/∂t
    ∇xH = j + ∂D/∂t
    © J. C. Maxwell, O. Heaviside

  17. #7916
    Завсегдатай Аватар для maxssau
    Регистрация
    15.08.2015
    Адрес
    Самара
    Возраст
    39
    Сообщений
    2,608

    По умолчанию Re: ЦАП своими руками (пятая ветка)

    для понимания как это работает старой версии достаточно
    _________________
    Евгений

  18. #7917
    Не хочу! Аватар для Alex
    Регистрация
    20.03.2003
    Адрес
    Worldwide
    Возраст
    61
    Сообщений
    36,132

    По умолчанию Re: ЦАП своими руками (пятая ветка)

    Цитата Сообщение от Dieselboy Посмотреть сообщение
    А как у XMOS переполнение/опустошение решено?
    Если в асинхронном режиме, то очень просто - их там просто нет


    Цитата Сообщение от Dieselboy Посмотреть сообщение
    Там есть обратный обмен с источником данных?
    Да.


    Цитата Сообщение от Dieselboy Посмотреть сообщение
    У ЧиД STM32 преобразователей I2S и внешним тактом такое тоже есть или возможно наступление..?
    На STM32 такое тоже делется, но сделали ли это в ЧиД - неизвестно.
    Цитата Сообщение от maxssau Посмотреть сообщение
    а у них скорее всего UAC 1 и синхронная передача
    Даже не адаптивная? Так нафиг оно нужено, есть РСМ27хх/29ххх.
    "Замполит, чайку?"(с)"Охота за Красным Октябрем".
    "Ну что, можете меняться обратно."(с)типа анек.
    <-- http://altor1.narod.ru --> Вопросы - в личку, е-мейл, скайп.

  19. #7918
    Забанен (навсегда)
    Регистрация
    30.09.2006
    Сообщений
    2,097

    По умолчанию Re: ЦАП своими руками (пятая ветка)

    Цитата Сообщение от maxssau Посмотреть сообщение
    если интересно. как это выглядит за кулисами, то можно почитать вот это
    А в чём его программируют? И как прошивают? Есть ли готовые проекты, примеры?

  20. #7919
    Завсегдатай Аватар для maxssau
    Регистрация
    15.08.2015
    Адрес
    Самара
    Возраст
    39
    Сообщений
    2,608

    По умолчанию Re: ЦАП своими руками (пятая ветка)

    программируют на C++, среда программирования xTIME Composer Studio, для прошивки нужен xTAG, примеров в интернете тьма. для начала можно изучить документацию и проекты на EVO платы

    https://www.xmos.com/products/audio/kits
    _________________
    Евгений

  21. #7920
    Не хочу! Аватар для Alex
    Регистрация
    20.03.2003
    Адрес
    Worldwide
    Возраст
    61
    Сообщений
    36,132

    По умолчанию Re: ЦАП своими руками (пятая ветка)

    Цитата Сообщение от maxssau Посмотреть сообщение
    программируют на C++
    Без "++" вроде как.
    "Замполит, чайку?"(с)"Охота за Красным Октябрем".
    "Ну что, можете меняться обратно."(с)типа анек.
    <-- http://altor1.narod.ru --> Вопросы - в личку, е-мейл, скайп.

Страница 396 из 517 Первая ... 386394395396397398406 ... Последняя

Социальные закладки

Социальные закладки

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •