Страница 189 из 520 Первая ... 179187188189190191199 ... Последняя
Показано с 3,761 по 3,780 из 10394

Тема: ЦАП своими руками (пятая ветка)

  1. #1 Показать/скрыть первое сообщение.
    Регистрация не подтверждена
    Автор темы
    Аватар для Kompros
    Регистрация
    11.03.2003
    Сообщений
    1,405

    По умолчанию ЦАП своими руками (пятая ветка)


  2. #3761
    Завсегдатай Аватар для MikeF
    Регистрация
    04.11.2004
    Адрес
    Кемерово
    Возраст
    40
    Сообщений
    3,117

    По умолчанию Re: ЦАП своими руками (пятая ветка)


    Offтопик:
    Цитата Сообщение от Alex Посмотреть сообщение
    А я сравнивал цены не у вас а на Диджикее, и на 6-ногий пик и на стм8.
    Забавная логика, надо было в каком-нибудь австралийском магазине посмотреть, думаю Pest было бы гораздо удобнее и дешевле там купить, а не у себя под боком.

    Цитата Сообщение от Alex Посмотреть сообщение
    Мы тут не говорили о том, что "перспективно" или лучше "купить и использовать", а о конкретной задаче.
    Судя по вопросу, человек не работает с МК, какой ему смысл осваивать ПО и чип которые дороже и "слабее" тех же стм, проще сразу подсесть на что-то более подходящее чем с этими пиками возиться. Разумно извлекать побольше пользы из своих действий, не так ли?

    Цитата Сообщение от Alex Посмотреть сообщение
    А в этой теме был конкретный вопрос и конкретная задача.
    Правильно. Ты предложил мелкий пик, я предложил вариант получше - мелкий стм, чего цепляться...
    Для связи: Skype и почта на моём сайте в профиле.
    С уважением,
    Михаил.

  3. #3762

    По умолчанию Re: ЦАП своими руками (пятая ветка)

    Цитата Сообщение от MikeF Посмотреть сообщение
    Правильно. Ты предложил мелкий пик, я предложил вариант получше - мелкий стм, чего цепляться...
    от нафига для такой простой задачи М̵̷̳͖͍̺͚̣͎͚̖͎̭̜̺̆͑ͣ͌̾ͫ̀ͬ̈́̓ͦ͜О̴̢͖̺͕͇̝͕̗͕͇̼͇̱̠̬͊̆̅̈̑́̐͂ͤ̈̆ͧ͡͝͡Ш̥̪̭̞͔̭͉̭͋ͯ̇̃ͯ̈̈͐̍̌̑̍̑͂̔̓̃́́̚͜͞Ч̴̧̻̥̣̱͍͔͍̩̩̩̲̳̰͕͖̻̤̓ͥ̒̂͒̓̂̅̂ͤͪͦ̾̚Я̐͌̎͂ͭ̃̊͋̓̚҉̴̨̡̫̟̜̯̹͖͉̥̞ стм?
    решение должно соотвествовать задаче
    was fällt, das soll man auch noch stossen

  4. #3763
    Не хочу! Аватар для Alex
    Регистрация
    20.03.2003
    Адрес
    Worldwide
    Возраст
    61
    Сообщений
    36,249

    По умолчанию Re: ЦАП своими руками (пятая ветка)

    Цитата Сообщение от MikeF Посмотреть сообщение
    Забавная логика, надо было в каком-нибудь австралийском магазине посмотреть,
    Я смотрю там, где я покупаю.

    Цитата Сообщение от MikeF Посмотреть сообщение
    Правильно. Ты предложил мелкий пик, я предложил вариант получше - мелкий стм, чего цепляться...
    Я ничего никому не "предлагал", я просто написал на чем можно сделать - на крохотном чипе в sot-23-6 за пол бакса. Остальное - уже твои домыслы.
    Последний раз редактировалось Alex; 09.10.2015 в 15:02.
    "Замполит, чайку?"(с)"Охота за Красным Октябрем".
    "Ну что, можете меняться обратно."(с)типа анек.
    <-- http://altor1.narod.ru --> Вопросы - в личку, е-мейл, скайп.

  5. #3764
    Завсегдатай Аватар для MikeF
    Регистрация
    04.11.2004
    Адрес
    Кемерово
    Возраст
    40
    Сообщений
    3,117

    По умолчанию Re: ЦАП своими руками (пятая ветка)


    Offтопик:
    Я тоже просто написал на чем можно сделать ДЕШЕВЛЕ. Предлагаю закончить.
    Для связи: Skype и почта на моём сайте в профиле.
    С уважением,
    Михаил.

  6. #3765
    Не хочу! Аватар для Alex
    Регистрация
    20.03.2003
    Адрес
    Worldwide
    Возраст
    61
    Сообщений
    36,249

    По умолчанию Re: ЦАП своими руками (пятая ветка)

    Дешевле - не будет.
    "Замполит, чайку?"(с)"Охота за Красным Октябрем".
    "Ну что, можете меняться обратно."(с)типа анек.
    <-- http://altor1.narod.ru --> Вопросы - в личку, е-мейл, скайп.

  7. #3766
    Новичок Аватар для 13 Antikvar
    Регистрация
    27.01.2012
    Адрес
    Хабаровск
    Сообщений
    1

    По умолчанию Re: ЦАП своими руками (пятая ветка)

    Я новичок в обращении с цап, но в руки попали 6 микросхем AD1859jrs. Подскажите, возможно ли их вкусно приготовить?
    Вложения Вложения
    • Тип файла: pdf AD1859.pdf (302.9 Кб, Просмотров: 138)

  8. #3767
    ★★★★★✰ Аватар для s3tup
    Регистрация
    11.02.2006
    Адрес
    Недоступно
    Сообщений
    2,324

    По умолчанию Re: ЦАП своими руками (пятая ветка)

    Неа, древняя дельтасигма со всем встроенным.
    Звуки оно производить то будет, готовится предельно просто, достаточно дать ей питание, отконфигурировать прицеплением ног к питанию/земле и подключить какой-нибудь спдиф/усб приемник.
    Самое простое в вашем случае - раздобыть сидюк с нормальным цапом и мертвым транспортом, и прицепить к нему спдиф/усб приемник. Получится качество как у того-же сидюка.
    Или купить поделку в китае, и над ней издеваться)
    Music is art. Audio is engineering.

  9. #3768
    Новичок Аватар для Radeon-13
    Регистрация
    18.10.2015
    Адрес
    г.Томск
    Возраст
    33
    Сообщений
    23

    По умолчанию Re: ЦАП своими руками (пятая ветка)

    Всем привет! Решил было дело углубиться в данное направление! Читал форумы, статьи но на все вопросы ответов не нашел((
    Объясните пожалуйста такую вещь: вот есть контроллер ATXmega и есть ЦАП CE2766. У данного ЦАП есть шина конфигурирования (I2S), шина аудиоданных формата I2S или SPI состоящие из входа Данных(Pin DIN) и Клока (Pin BCK), а так же ножка принадлежности данных для левого или правого канала (Pin LRCK). Так же есть вход тактирования ЦАПа (Pin XCK).
    Я хочу сделать вот что: затактировать Мегу и ЦАП от одного генератора (например кварц 11.29МГц), потом сконфигурировать ЦАП на деление этой частоты на 256 и тем самым получить Частоту дискретизации 44100Гц, потом по SPI слать аудиоданные в ЦАП в 16 битном виде.
    Правильно ли я понимаю что по SPI я должен 44100 раз в секунду отправить ЦАПу по 16 бит данных для правого и левого канала?
    Так же вопрос с какой частотой должен работать SPI, я высчитываю так:
    Fs = 44100Гц. Значит 44100 умножить на 32бит(левый канал + правый канал) - 44100*32 = 1 411 200 бит в секунду нужно передать, правильно ли я понимаю, что частота передачи SPI должна быть почти полтора Мегагерца? И линия тактирования SPI не обязательно должна быть синхронна с тем самым генератором который тактирует МК и ЦАП, (не, ну МК то понятно что синхронизация от него будет )
    CE2766.pdf
    Нажмите на изображение для увеличения. 

Название:	123321.jpg 
Просмотров:	283 
Размер:	69.5 Кб 
ID:	248404
    Нажмите на изображение для увеличения. 

Название:	Block.png 
Просмотров:	400 
Размер:	42.0 Кб 
ID:	248405

  10. #3769
    Завсегдатай Аватар для MikeF
    Регистрация
    04.11.2004
    Адрес
    Кемерово
    Возраст
    40
    Сообщений
    3,117

    По умолчанию Re: ЦАП своими руками (пятая ветка)

    Цитата Сообщение от Radeon-13 Посмотреть сообщение
    есть ЦАП CE2766
    Не модный цап какой-то по цифиркам не очень, почему именно он?
    Для связи: Skype и почта на моём сайте в профиле.
    С уважением,
    Михаил.

  11. #3770
    Новичок Аватар для Radeon-13
    Регистрация
    18.10.2015
    Адрес
    г.Томск
    Возраст
    33
    Сообщений
    23

    По умолчанию Re: ЦАП своими руками (пятая ветка)

    Хреновый ЦАП согласен. Но для изучения сойдет! Скро приедут два других AD1866 и MAX541.У макс время установки 1мкс думаю для наших целей должно хватить! Если период 48000Гц - 20мкс

  12. #3771
    Новичок Аватар для oas89
    Регистрация
    19.07.2014
    Адрес
    Москва
    Возраст
    35
    Сообщений
    46

    По умолчанию Re: ЦАП своими руками (пятая ветка)

    Цитата Сообщение от Radeon-13 Посмотреть сообщение
    Скро приедут два других AD1866 и MAX541.У макс время установки 1мкс думаю для наших целей должно хватить! Если период 48000Гц - 20мкс
    Только вот MAX541 не является аудио ЦАПом, даже если закрыть глаза на характеристики, то сразу возникает проблема загрузки данных в него, т.к. он не поддерживает ниодну из
    разновидностей протокола I2S, то будет проблематично подключить его к источнику сигнала (spdif-приемнику, usb-транспорту etc), ну или городить конвертер I2S-SPI на ПЛИС, но не
    понятно ради чего в итоге.

  13. #3772
    Старый знакомый Аватар для Sanny
    Регистрация
    01.07.2010
    Сообщений
    560

    По умолчанию Re: ЦАП своими руками (пятая ветка)

    Цитата Сообщение от Radeon-13 Посмотреть сообщение
    Хреновый ЦАП согласен. Но для изучения сойдет! Скро приедут два других AD1866 и MAX541.У макс время установки 1мкс думаю для наших целей должно хватить! Если период 48000Гц - 20мкс
    А если 8х передискретизация?

  14. #3773
    Новичок Аватар для Radeon-13
    Регистрация
    18.10.2015
    Адрес
    г.Томск
    Возраст
    33
    Сообщений
    23

    По умолчанию Re: ЦАП своими руками (пятая ветка)

    Цитата Сообщение от oas89 Посмотреть сообщение
    Только вот MAX541 не является аудио ЦАПом, даже если закрыть глаза на характеристики, то сразу возникает проблема загрузки данных в него, т.к. он не поддерживает ниодну из
    разновидностей протокола I2S.
    Что же, все карты на стол!
    Ради чего все это? Я давно задумал собрать радиошнур для электро гитары, удобная вещь на концертах..
    И вот набираюсь уму разуму!
    Суть то в чем?! Нужно преобразовать аналог с гитары в цифру, передать в этом виде и на базе синтезировать обратно, дальше гитарное оборудование.
    Так вот, мне по идее нужен цап который работает без мастерклока, а срабатывает по "защелке" и в общем то 0.01% THD меня устроит а так же SPI интерфейс предпочтительней. Мне не нужен хай энд цап, потому как гитара совместно со всеми прибамбасами и есть одно сплошное искажение. Другое дело отношение сигнал-шум..
    Почему по "защелке", потому что отбирать лишнюю скорость цифрового передатчика для сигналов синхронизации не хочется!
    Почему SPI, потому что хотелось бы использовать управляющий МК из семейства AVR какой нить Меги например. С аппаратным I2S у нее хреновы дела - медленная, а вот SPI запросто может работать 5МГц, чего мне должно хватить для одноканального цапа с разрядностью 16 бит и 44000fs.

    Но все же, вопрос синхронизации аудиоданных с мастерклоком меня волнуют до сих пор. Может кто нить прояснить ситуацию?!

    ---------- Сообщение добавлено 21.42 ---------- Предыдущее сообщение было 21.34 ----------

    Цитата Сообщение от Sanny Посмотреть сообщение
    А если 8х передискретизация?
    Может быть глупый вопрос, простите! Но если передискретизация 8х, то я долже в 8 раз чаще слать данные?!

  15. #3774
    Не хочу! Аватар для Alex
    Регистрация
    20.03.2003
    Адрес
    Worldwide
    Возраст
    61
    Сообщений
    36,249

    По умолчанию Re: ЦАП своими руками (пятая ветка)

    Цитата Сообщение от Radeon-13 Посмотреть сообщение
    У данного ЦАП есть шина конфигурирования (I2S),
    Это вряд ли, I2S - аудиошина, используется для передачи данных исключительно.
    Конфигурация обычно по SPI или по I2C.
    Цитата Сообщение от Radeon-13 Посмотреть сообщение
    шина аудиоданных формата I2S или SPI состоящие из входа Данных(Pin DIN) и Клока (Pin BCK), а так же ножка принадлежности данных для левого или правого канала (Pin LRCK).
    А вот тут - скорее всего только I2S, без всяких SPI - в аудиоЦАПах редко по SPI данные передаются.
    Хотя конечно, если подходить слишком педантично, то I2S это разновидность SPI

    Цитата Сообщение от Radeon-13 Посмотреть сообщение
    Я хочу сделать вот что: затактировать Мегу и ЦАП от одного генератора (например кварц 11.29МГц), потом сконфигурировать ЦАП на деление этой частоты на 256 и тем самым получить Частоту дискретизации 44100Гц, потом по SPI слать аудиоданные в ЦАП в 16 битном виде.
    Правильно ли я понимаю что по SPI я должен 44100 раз в секунду отправить ЦАПу по 16 бит данных для правого и левого канала?
    Да, только вначале надо точно выяснить, что это ЦАП умеет принимать аудиоданные по обычной SPI.

    То, что я виду на приведенных картинках - этот ЦАП 6-ти канальный, аудиоданные передаются по 6-ти канальной I2S, состоящей из MCLK (XCK), BCLK (BCK), WCLK (LRCK) и трех линий данных - DIN1, DIN2, DIN3.

    А конфигурирование - по шине I2C, сигналами SDA/SCL.

    Цитата Сообщение от Radeon-13 Посмотреть сообщение
    правильно ли я понимаю, что частота передачи SPI должна быть почти полтора Мегагерца?
    Это зависит от формата шины I2S, они бывают разные.
    В современных ЦАПах передаются 32-х битные слова в каждом канале, из которых ЦАП испольщзует 16, 24 или все 32.
    Частота Bclk при этом в 64 раза выше частоты семплирования, т.е. 2.82 МГц для 44.1кГц.
    Если передавать только 16-битные слова. будет вдвое меньше.

    Цитата Сообщение от Radeon-13 Посмотреть сообщение
    Я давно задумал собрать радиошнур для электро гитары, удобная вещь на концертах..
    И как этот ЦАП этому поможет?
    С гитары идет аналоговый сигнал, значит прежде всего нужен не ЦАП а АЦП, затем передавать по радио цифру, используя радиомосты с шиной I2S, например CC8520 (и т.п.) с обоих сторон, и уже на выходе - ЦАП. Т.е. так:
    Гитара->АЦП->i2s->CC8520 ->антена ... воздух... антена->CC8520->i2s->ЦАП->усилитель.

    Цитата Сообщение от Radeon-13 Посмотреть сообщение
    Так вот, мне по идее нужен цап который работает без мастерклока,
    PCM5102, например.

    Цитата Сообщение от Radeon-13 Посмотреть сообщение
    Но все же, вопрос синхронизации аудиоданных с мастерклоком меня волнуют до сих пор.
    MCLK всегда синхронный с аудиошиной, собственно - сигналы WCLK и BCLK обычно получаются делением по частоте сигнала MCLK.

    Цитата Сообщение от Radeon-13 Посмотреть сообщение
    Может быть глупый вопрос, простите! Но если передискретизация 8х, то я долже в 8 раз чаще слать данные?!
    Конечно. Но все нормальные ЦАПы (про этот не знаю), имеющие внутри цифровой фильтр, сами делают передскретизацию внутри.


    Цитата Сообщение от Radeon-13 Посмотреть сообщение
    хотелось бы использовать управляющий МК из семейства AVR какой нить Меги например. С аппаратным I2S у нее хреновы дела - медленная,
    Насколько я помню у AVR*ов , вообще нет и никогда небыло шины I2S, разве что в каких новых появилась? (я с AVR давно не работаю)
    Последний раз редактировалось Alex; 19.10.2015 в 18:51.
    "Замполит, чайку?"(с)"Охота за Красным Октябрем".
    "Ну что, можете меняться обратно."(с)типа анек.
    <-- http://altor1.narod.ru --> Вопросы - в личку, е-мейл, скайп.

  16. #3775
    Новичок Аватар для Radeon-13
    Регистрация
    18.10.2015
    Адрес
    г.Томск
    Возраст
    33
    Сообщений
    23

    По умолчанию Re: ЦАП своими руками (пятая ветка)

    О спасибо придобрейший
    Ага вот и путаница I2C или I2S. в Атмегах есть и то и другое не понимал что их отличает! Ведь и там и там условия старт/стоп...протокол обмена одинаковый Вот только I2S там называется TWI (юридические дела), а разница то в скорости!!
    Цитата Сообщение от Alex Посмотреть сообщение
    А конфигурирование - по шине I2C, сигналами SDA/SCL.
    - да запутался...

    Цитата Сообщение от Alex Посмотреть сообщение
    Если передавать только 16-битные слова. будет вдвое меньше.
    - Тоже понятно, это же я и считал в своем первом сообщении на этом форуме!)) С премьерой меня))

    Цитата Сообщение от Alex Посмотреть сообщение
    С гитары идет аналоговый сигнал. значит нужен не ЦАП а АЦП
    - Это само собой! Просто область ЦАП и АЦП для меня практически новое (работал с ШИМ и АЦП в МК) и я решил пойти от обратного, потому как эта часть всей затеи для меня было загадкой, особенно мастер клоки, бит клок, нужно было представление в каком виде должны идти данные. Спасибо вам теперь они есть вроде))

    Цитата Сообщение от Alex Посмотреть сообщение
    PCM5102, например.
    Спасибо за наводку!
    Поглядел даташит, он двухканальный, отсюда вопрос, пакет данных идет за оба канала с изменением WCLK как принадлежность и потом защелка. А если передать только 16 бит для левого канала и сразу защелкнуть, цап выставит напряжение только левого канала или же ему обязательно передавать оба? Потому как лишние 16 бит заполнять нолями у меня нет желания! Скорость шины и передатчика все таки...
    Мне скоро придет AD1866 как я понимаю в интерфейсе они аналогичны.

    Цитата Сообщение от Alex Посмотреть сообщение
    Конечно. Но все нормальные ЦАПы (про этот не знаю)
    Тык а если была частота скажем 44100 собственно частота выборок (в файле) а потом мы задрали Fs а чем получившиеся дыры заполнять? Усредненными значениями что ли?

    ---------- Сообщение добавлено 23.37 ---------- Предыдущее сообщение было 23.25 ----------

    Цитата Сообщение от Alex Посмотреть сообщение
    Насколько я помню у AVR*ов , вообще нет и никогда небыло шины I2S, разве что в каких новых появилась? (я с AVR давно не работаю)
    Блин I2C I2S в поисковике одно и тоже , в википедии тоже самое....Бог знает в общем, но в даташите вот диаграмма вроде как смотришь SPI да SPI.... непонятно...хотя я что то напутал в диаграмме не на I2S Input Format не показано условий которые используются в I2C.
    Значит действительно I2S это мод SPI
    Вложения Вложения

  17. #3776
    Не хочу! Аватар для Alex
    Регистрация
    20.03.2003
    Адрес
    Worldwide
    Возраст
    61
    Сообщений
    36,249

    По умолчанию Re: ЦАП своими руками (пятая ветка)

    Цитата Сообщение от Radeon-13 Посмотреть сообщение
    Ага вот и путаница I2C или I2S. в Атмегах есть и то и другое не понимал что их отличает!
    В какой АТМеге есть I2S?
    Цитата Сообщение от Radeon-13 Посмотреть сообщение
    Тык а если была частота скажем 44100 собственно частота выборок (в файле) а потом мы задрали Fs а чем получившиеся дыры заполнять? Усредненными значениями что ли?
    Нет конечно, с усредненными будет куча алиасов.
    Цитата Сообщение от Radeon-13 Посмотреть сообщение
    Мне скоро придет AD1866 как я понимаю в интерфейсе они аналогичны.
    Да все аудиоЦАПы, кроме некоторых древних поделок, в интерфейсе одинаковы - I2S в разных ее форматах. Как правило, формат можно переключать и выбирать какой надо (I2S, RJ, LJ).
    Цитата Сообщение от Radeon-13 Посмотреть сообщение
    Потому как лишние 16 бит заполнять нолями у меня нет желания!
    А придется.

    Цитата Сообщение от Radeon-13 Посмотреть сообщение
    Скорость шины и передатчика все таки...
    На 44.1 ?! На такой низкой сороксти, проблем обычно нет, они появляются на 384 и 768, там уже есть свои нюансы.
    "Замполит, чайку?"(с)"Охота за Красным Октябрем".
    "Ну что, можете меняться обратно."(с)типа анек.
    <-- http://altor1.narod.ru --> Вопросы - в личку, е-мейл, скайп.

  18. #3777
    Новичок Аватар для Radeon-13
    Регистрация
    18.10.2015
    Адрес
    г.Томск
    Возраст
    33
    Сообщений
    23

    По умолчанию Re: ЦАП своими руками (пятая ветка)

    Цитата Сообщение от Alex Посмотреть сообщение
    В какой АТМеге есть I2S?
    Извиняюсь, есть I2C он же TWI, только побыстрей, есть SPI, есть UART.

    Цитата Сообщение от Alex Посмотреть сообщение
    можно переключать и выбирать какой надо (I2S, RJ, LJ).
    Вот RJ и LJ это вместо защелки? Загрузка данных по левому или правому каналу, то есть по сигналу WCLK ? Из даташита не очень понял

    Цитата Сообщение от Alex Посмотреть сообщение
    384 и 768, там уже есть свои нюансы.
    Речь я понимаю о джитерах?
    Миниатюры Миниатюры Нажмите на изображение для увеличения. 

Название:	RJ LJ.png 
Просмотров:	166 
Размер:	69.6 Кб 
ID:	248489  

  19. #3778
    Завсегдатай Аватар для MikeF
    Регистрация
    04.11.2004
    Адрес
    Кемерово
    Возраст
    40
    Сообщений
    3,117

    По умолчанию Re: ЦАП своими руками (пятая ветка)

    Цитата Сообщение от Radeon-13 Посмотреть сообщение
    Вот RJ и LJ это вместо защелки? Загрузка данных по левому или правому каналу, то есть по сигналу WCLK ?
    Нет. Данные обоих каналов загружаются в цап по сигналу LRCK (WCK) единовременно. RJ, LJ и i2s - это только выравнивание данных в кадре, как на вашем скрине.
    Для связи: Skype и почта на моём сайте в профиле.
    С уважением,
    Михаил.

  20. #3779
    Не хочу! Аватар для Alex
    Регистрация
    20.03.2003
    Адрес
    Worldwide
    Возраст
    61
    Сообщений
    36,249

    По умолчанию Re: ЦАП своими руками (пятая ветка)

    Цитата Сообщение от Radeon-13 Посмотреть сообщение
    Извиняюсь, есть I2C он же TWI,
    TWI, Accecc-Bus, SMBus, PMBus, Hi2C, DDC, IPMI, ATCA, MTCA - это все I2C.
    Часто другие названия дают чтобы просто обойти филипсовский патент.
    В любом случае, к I2S оно не имеет ни малейшего отношения.


    Цитата Сообщение от Radeon-13 Посмотреть сообщение
    Вот RJ и LJ это вместо защелки?
    RJ и LJ это не сигналы, это форматы.
    Тут есть небольшая непонятна. т.к. сама шина называетсч I2S, физически. А форматов передачи несколько. и один из них тоже называется I2S, это иногда сбивает с толку.
    Речь о том, в какую сторону выровнены данные, вправо - Right Justify (RJ), влево - Left Justify, и I2S - как LJ, только сдвинуто вправо на бит.
    Обычно передается полный кадр, где в каждом канале 32 бита, но бывают и усеченные.
    RJ имеет особенность - для его правильной передачи надо точно знать битность, поэтому различают RJ16, RJ24, RJ32.
    В остальных форматах этого не нужно - просто не нужные младшие заполняются нулями или просто игноруются ЦАПом
    Понятно, что при 32-х битах в кадре, RJ32 и LJ32 это одно и то-же.

    Цитата Сообщение от Radeon-13 Посмотреть сообщение
    Загрузка данных по левому или правому каналу, то есть по сигналу WCLK ?
    Данные всегда защелкиваюся по WCLK, только надо помниить что он в RJ и LJ инферсный, по отношению с форматом I2S.

    Цитата Сообщение от Radeon-13 Посмотреть сообщение
    Из даташита не очень понял
    В даташитах воообще много чего, что сбивает с толку, пример с наименованием шины и форматов я уже приводил.
    Еще - нумерация битов, часто биты нумеруют начиная не с младшего а со старшего, т.е. D0 - старший (MSB), D15 - младший (LSB). А еще часто нумеруют не 0..15 а 1...16, все это новичков иногда просто с ума сводит

    Цитата Сообщение от Radeon-13 Посмотреть сообщение
    Речь я понимаю о джитерах?
    Не только.
    "Замполит, чайку?"(с)"Охота за Красным Октябрем".
    "Ну что, можете меняться обратно."(с)типа анек.
    <-- http://altor1.narod.ru --> Вопросы - в личку, е-мейл, скайп.

  21. #3780
    Новичок Аватар для Radeon-13
    Регистрация
    18.10.2015
    Адрес
    г.Томск
    Возраст
    33
    Сообщений
    23

    По умолчанию Re: ЦАП своими руками (пятая ветка)

    Спасибо вам большое за терпение и не оставленные без внимания вопросы! Четыре дня взрывал голову перечитывая горы статей и форумов часто дублирующих смысл друг друга....
    Увы я не смог найти одиночного цап из линейки PCM.
    Буду ждать свой AD1866 сдвоеный ЦАП.
    Данная ветка именуется "Цапострой" и речь здесь о Цапах воспроизводящих музыку. Мой проект по тематике схож с данной веткой. Могу ли я тут делиться всоими результатами , взлетами и падениями?!

    ---------- Сообщение добавлено 16.25 ---------- Предыдущее сообщение было 16.23 ----------

    Старший младший байт, счет битов это мне знакомо)) не один проект собран под управлением МК))) Спасибо еще раз!!!

Страница 189 из 520 Первая ... 179187188189190191199 ... Последняя

Социальные закладки

Социальные закладки

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •