Страница 10 из 33 Первая ... 8910111220 ... Последняя
Показано с 181 по 200 из 647

Тема: Проектируем цифровой фильтр для ЦАП 2

  1. #1 Показать/скрыть первое сообщение.
    Завсегдатай
    Автор темы
    Аватар для dortonyan
    Регистрация
    03.06.2009
    Адрес
    BLR
    Возраст
    38
    Сообщений
    3,095

    По умолчанию Проектируем цифровой фильтр для ЦАП 2

    В продолжение ветки open source проект универсального цифрового фильтра.
    Данный проект имел своей целью сделать цапострой на параллельных конверторах более доступным, т.к. интегральные ЦФ для параллельных ЦАП купить достаточно сложно и дорого.

    Особенности фильтра, выгодно отличающие его от интегральных аналогов, доступных в продаже:
    - поддержка х32 оверсемплинга,
    - автопереключение кратности интерполяции в зав-ти от входной ДЧ
    - поддержка конвейерного вывода данных
    - совместимость с большим кол-вом параллельных ЦАП-ов, в том числе SPI
    - 55Дб ослабление на частоте Найквиста
    - конфигурация режимов ЦФ выполняется внешними пинами, не требуя внешнего конфигурационного MCU
    - невысокая стоимость

    Фильтр писался под плисины в QFP-100 корпусах (латтис и алльтеру), но при желании проект несложно портировать и на любую другую плисину.
    В проекте не используются выделенные умножители и описание блоков памяти выполнено универсальным верилоговским стилем.

    Описание в стиле ДШ на агл. языке смотри в файле DF1_1_0_0.pdf
    Прошивки сделаны под плисины в 100-пиновых выводных корпусах: LCMXO2-2000 и EP1C3T100 - DF1_firmware.zip.
    Исходники написаны на верилоге: DF1_source.zip.
    Для гибкости проекта в него введен файл конфигурации, в котором можно менять параметры ЦФ и выбирать условную компиляцию под плисину lattice config_lattice.zip, или altera - config_altera.zip. Данный файл конфигурации дополняет исходники для компиляции проекта.
    Латтисы удобны меньшим потреблением и встроенной флешью. А циклоны - тем что их проще купить (полно на ибее).
    При этом плисины Lattice желательно выбирать с грейдом скорости выше единицы. Самый медленный ZE-1 в принципе тоже работает, но при повышенных температурах, или при просадках питания - не гарантированно.
    Так же во вложении смотри литературу по цифровой арифметике.

    Информация по тестам данного проекта вживую:

    Все модули и режимы (под латтис и под альтеру) отлаживались и проверялись во симуляторе Quartus. Для lattice fpga тайминги отдельно проверялись и оптимизировались в ide diamond.
    Так же, обе конфигурации (под латтис и под альтеру) проверялись в живую на моем ЦАПе на LCMXO2-7000ZE-1, но в ограниченном режиме. Т.е. проверено все, что позволила схемотехника моего ЦАП.
    Что проверено из основного функционала:
    - АЧХ фильтра на частоте Найквиста при входной частоте дискретизации 44,1кГц в режиме lp_mode = 0 и lp_mode = 1.
    - Работа на разном максимальном оверсемплинге: от х4 до х32.
    - Автоматическое переключение оверсемплинга при повышении входной частоты дискретизации до 384кГц.
    - Автоматическое переключение в режим байпасса.
    - Отработка переполнения (цифровой клипп на меандре и на звуковой фонограмме).
    - Индикация входной ЧД, байпасса и клиппа.
    - Вывод данных на битклоке разной скорости (от clk/4 до clk/1).
    - Смена скважности сигнала деглитчера.
    - Работа входного аттенюатора (как логикой во входном модуле, так и коэффициентами).
    - Работа дизеринга и ноизшейпинга округления данных на выходе.

    Что не проверено (проверено только в симуляторе):
    - Работа с тактовой частотой 768Fs.
    - Разные режимы вывода данных (SPI_MODE), в частности не проверена работа конвейерного вывода данных и вывод с непрерывным битклоком.
    - Не проверен вывод данных с SPI заголовками.
    - Кроме того, допускаю наличие описок и в описании (режимов работы и пинов конфигурации очень много). Поэтому версию проекта озаглавлена как alpha.
    [свернуть]


    Описание общей архитектуры ядра фильтра DF1:


    Для максимальной экономии ресурсов fpga фильтр использует х2 каскады симметричных фазолинейных FIR фильтров-интерполяторов.
    - х2 каскады снижают требуемы ресурсы для фильтрации, а так же делают удобным механизм смены кратности оверсемплинга.
    - Фазолинейность означает симметрию импульсной хар-ки относительно центрального пика.
    - Симметричность означает, что используется FIR четного порядка (это значит с нечетным числом коэф-тов, т.е. с одним центральным коэффициентом в максимуме).

    Всего в своем составе фильтр содержит 5 каскадов х2 интерполяторов, которые позволяют развивать максимальную кратность оверсемплинга 2^5 = х32.
    Каждый х2 каскад интерполятора имеет свой fifo буфер данных для расчета свертки фильтра. Итого, в составе фильтра всего 5 fifo буферов интерполяторов. Плюс, фильтр имеет отдельной fifo буфер для хранения результата расчета последнего х2 каскада.

    На каждый входной семпл х2 интерполятор рассчитывает два новых семпла.
    Входные данные всегда добавляются в fifo первого каскада. Результат расчета свертки первого каскада (два новых семпла) записывается в fifo будет 2-го каскада. Второй каскад для каждого нового семпла так же рассчитывает пару новых и результат (всего четыре новых семпла) добавляет в fifo 3-го каскада. И так далее...
    Последний 5-ый каскад для входных 16-ти семплов рассчитывает новые 32 семпла и помещает результат в fifo выходного буфера. Из выходного fifo буфера данные с заданной выходной частотой дискретизации извлекаются и передаются на выход.

    При снижении кратности оверсемплинга выходной fifo буфер принимает данные не с 5-го каскада, а из одного из предыдущих.
    Например, при кратности х8, входные данные добавляются в fifo 1-го х2 каскада. Далее, результат (два семпла) - добавляются в fifo 2-го каскада. Результат 2-го (4 семпла) добавляются в fifo 3-го каскада. И в конце - результат 3-го каскада (8 семплов) добавляются в выходной fifo буфер.

    Для экономии ресурсов fpga все каскады фильтра для расчета новых семплов используют общий арифметический блок (по одному на каждый канал) и общих блок ОЗУ. Поэтому расчет новых семплов каждого каскада выполняется последовательно (по очереди).
    Модули MAC, работают в конвейерном режиме, т.е. результат на выходе мака появляется через несколько тактов после загрузки последнего семпла данных на входе. Данное обстоятельство создает коллизию при последовательном обсчете каскадов от первого к последнему, т.к. расчет свертки следующего каскада будет начинаться раньше, чем завершится расчет семплов предыдущего каскада. Данную коллизию можно устранить просто добавляя паузы ожидания на выгрузку конвейера маков, но в таком случае упадет производительность блока арифметики.
    Поэтому, для устранения данной коллизии используется другой метод - обсчет каскадов выполняется в обратном порядке (от последнего к первому).
    Т.е. в режиме х32 оверсемплинга при загрузке нового семпла в fifo 1-го каскада, конечный автомат сначала запускает 5-ый х2 каскад и рассчитывает 32 новых семпла, которые записываются в выходной fifo буфер. Затем - второй х2 каскад, котоый записывает 16 новых семплов в fifo 5-го каскада и т.д.

    Т.к. fifo буферы размещены в общей ОЗУ, то данный блок поделен на сектора. Всего 6 рабочих секторов: 5 для fifo интерполяторов и один для выходного буфера.
    Для упрощения арифметики адресации размеры буферов fifo выбраны кратными 2^N (адрес такого буфера при переполнении автоматом переходит на начало).

    Т.к. при интерполяции промежуточные семплы прореживаются нулями, то объем fifo буфера интерполятора можно задавать вдвое меньше кол-ва отводов фильтра.
    Для первого каскада (самого длинного) выделена глубина fifo 128 семплов. Поэтому максимальная длина фильтра для данного буфера составляет 256 отводов. Максимальная длина симметричного фазолинейного фильтра при этом будет 253 (увеличить можно только на 4 отвода, тогда получится 257, что не поместится в буфере).
    Для остальных каскадов, а так же для выходного буфера глубина fifo задана 64 семпла.
    Общий блок ОЗУ имеет объем 512 семплов. Поэтому в нем занято 128 + 64 + 64 + 64 + 64 на фильтры и еще 64 на выходной буфер. Всего 448 семплов. Еще 64 слова памяти остаются не использованы.

    Адресация к блокам fifo внутри общего блока ОЗУ организована через смещения: старшие биты адреса задают адрес fifo буфера, а младшие - позицию внутри данного fifo буфера.
    [свернуть]


    Mac_Wx9

    Модуль Mac_Wx9 - собственно тот модуль который выполняет умножение с накоплением входных отсчетов из fifo на коэффициенты из блока памяти coef_rom. По результату моделирования фильтров в матлабе выяснено, что для заданных хар-к фильтра оптимальная разрядность коэффициентов составляет порядка 26..28 бит.
    Исходя из тактовой частоты 1024Fs выбрано максимально допустимое кол-во тактов умножителя на одно умножение: 3 такта. Это значит, что каждые три такта на входы умножителя подается новый семпл данных и новый коэффициент. При этом, на каждом такте выполняется умножение на 1/3 слова коэффициентов.
    Исходя из этого, разрядность коэф-тов выбрана 27 бит, как удобная с точки зрения построения умножителя (делится на три), так и с точки зрения достаточной точности вычислений. Так же 27 бит коэффициенты хорошо ложатся в выделенные блоки памяти, разрядность которых кратна 9 разрядам.
    Поэтому для данного проекта коэффициенты предварительно разбираются на 9 бит слова (для этого написана консольная утилита, которая из матлабовского файла коэффициентов создает верилоговский файл с коэффициентами в нужном формате).
    Для максимальной производительности арифметики модуль mac выполняет умножения без пропусков тактов (каждые три такта - новое умножение), пока не будут обсчитаны все х2 каскады фильтра.
    Также для оптимизации арифметики используется свойство симметрии коэффициентов фильтра. Если используются фазолинейный фильтр четного порядка, то в расчете каждого семпла выполняется умножение одного и того же коэффициента на два разных семпла данных.
    Это обстоятельство позволяет в свертке заменить выражение d1*c + d2*c выражением (d1 + d2)*c, вдвое сокращая кол-во умножений. Но в то же время, такая арифметика требует чтения двух семплов на каждое умножение (каждый семпл читается за один такт clk).
    Т.к. умножение выполняется за 3 такта, а чтение данных - за 2, то каждый 3-ий такт ОЗУ доступно для чтения результата из выходного буфера fifo.
    Учитывая кол-во тактов умножителя, синхронизация разных модулей фильтра осуществляется посредством счетчика syncnt внутри модуля DF1_FIR_CORE, который постоянно считает по циклу от нуля до двух.
    [свернуть]


    data_write

    Записью данных в fifo буфер управляет модуль data_write. Данный модуль принимает сигналы на запись данных от входного модуля sai_input (когда приняты данные SAI_input модуля) и от мака mac_control (когда на выходе мака готов очередной семпл).
    Для максимальной производительности арифметики мак работает без остановок, поэтому если оба сигнала приходят одновременно, то мак имеет более высокий приоритет (данные от модуля SAI_input запишутся после записи нового семпла из мака).
    Позиции актуальных адресов fifo хранятся в регистрах-счетчиках: currpos_st1...currpos_st6. При записи каждого нового семпла в буфер выполняется инкремент соответствующего счетчика.
    При этом, если выполняется запись данных от входного модуля, то data_write генерирует сигнал start_mac -> write_fir_start, который запускает конечный автомат управляющий арифметикой fir фильтра.
    [свернуть]


    init_adr data_adr

    Стартует алгоритм арифметики с модуля init_adr, который подготавливает данные для инициализации автомата генерации адресов интерполяторов (data_adr):
    - длина первого lenth1 и второго lenth2 прохода фильтра для данного каскада фильтра
    - кол-во повторов прохода: repeatnum
    - номер каскада, с которого начинается старт арифметики. Для х32 режима это 5-ый каскад, для х16 - 4-ый и т.д.
    - текущую позицию буфера fifo для данного каскада: curr_pos
    - номер текущего каскада х2 интерполятора: stage_num
    Модуль data_adr принимает инициализирующие значения от init_adr и генерирует адреса для заданного каскада фильтра (для расчета свертки х2 интерполятора). Так же модуль data_adr инициализирует генератор адресов коэффициентов coef_adr.
    По завершении генерации адресов data_adr генерирует сигнал next_stage обратно в модуль init_adr.
    Приняв этот сигнал init_adr готовит на свой выход данные инициализации для расчета следующего х2 каскада интерполятора.
    Обмен сигналами между модулями повторяется, пока не будут обсчитаны все каскады фильтра.
    [свернуть]


    data_read

    Модуль data_read является промежуточным модулем между fir фильтром и модулем вывода данных. По сигналу out_load от модуля SAI_output, модуль data_read запускает алгоритм чтения данных из выходного fifo буфера.
    Для этого, модуль data_read анализирует значение счетчика syncnt, и в момент когда ОЗУ свободна (нет чтения данных для мака) - выполняет чтение из буфера. Данные в новом семпле округляются с ноизшейпингом и проверяются на переполнение.
    [свернуть]


    coef_control


    Коэффициенты DF1 рассчитывались в matlab r2013b -> FDA tool -> FIR
    Для первого каскада (для самой низкой входной частоты дискретизации 44,1/48кГц) использован простой fir фильтр максимальной длины с Equiripple оптимизацией с повышенным ослаблением на частоте Найквиста. Для остальных каскадов использованы half-band фильтры.
    При повышении входной частоты дискретизации производительность фильтра пропорционально снижается, поэтому для первого каскада добавлены дополнительные наборы коэффициентов более коротких полуполосных фильтров.
    Управление наборами коэффициентов в зависимости от входной и выходной ЧД, а так же от режима lp_mode описано в файле coef_control внутри которого вызывается модуль rom_coef_control.
    Заголовок файла rom_coef_control содержит описание расчета максимального кол-ва тактов умножения для соотношения входной и выходной ЧД, исходя из которого выбирается набор коэффициентов для первого каскада интерполятора фильтра.
    [свернуть]


    Коэффициенты

    DF1 использует схему знакового умножителя в дополнительных кодах. Поэтому коэффициенты в ROM фильтра так же описаны в дополнительных кодах разрядностью 27бит (по три 9 бит слова на каждый коэффициент).
    Фактически свертка fir фильтра состоит из суммы частных (т.е. делений). Однако, т.к. арифметика деления сложнее умножений, то для замены частных значений произведениями коэффициенты переводятся в дробные значения. Т.е. выражение d/4 заменяется выражением d*0.25.
    Поэтому значения коэффициентов нормированы к единице: старший разряд дополнительного кода кодирует знак, в следующем разряде - единица, остальное дробная часть.
    Для 8 бит кода +1 выглядит так 0х40, минус один - 0хС0 (в отличие от целого числа, где минус единица это 0хFF).
    Но, если самый большой положительный коэффициент фильтра имеет значение меньше единицы, то второй бит слева всегда равен нулю. А значит можно увеличить разрядность коэффициентов на один бит без переполнения разрядной сетки.
    Matlab по умолчанию выполняет данную операцию автоматически, для максимального использования разрядной сетки коэффициентов: задает numerator range 0.5. Это значит что вес следующего разряда после знака не единица, а 0.5.
    Но в случае полуполосного фильтра максимальный (центральный) коэффициент равен единице. Поэтому matlab задает для него numerator range 1.
    Если ЦФ использует в своем составе оба типа фильтра (полуполосный и обычный), то при таком расчете получается разница размерностей коэффициентов, что не допустимо. Возникает проблема выравнивания размерностей.
    Способы решения данной проблемы:
    1. Использовать для коэффициентов неполуполосного фильтра диапазон 1 (но ухудшится точность для данного фильтра на один разряд).
    2. Задать для полуполосного фильтра размерность 0.5. Тогда matlab увеличит разрядность коэффициентов на бит, а для центрального отсчета единицу 0x40 заменит значением вдвое большим - 0x7F, чтобы получить единицу, но не выйти за пределы разрядной сетки. Но такое значение получается не ровно вдвое больше, а с погрешностью в -1LSB. К тому же, большое кол-во единиц в слове коэффициента означает большое кол-во суммирований в умножителе, что хуже с точки зрения потребления и помех.
    3. Решение использованное в DF1. Для максимального использования разрядной сетки диапазон для всех типов фильтра задается 0.5. А для полуполосного фильтра значение центрального коэф-та задается вдвое меньшим, т.е. вместо единицы - 0.5, чтобы не выйти из разрядной сетки.
    Как описано выше, умножитель имеет предварительный сумматор для суммирования двух семплов перед умножением на коэффициент. Чтобы компенсировать вдвое меньший центральный коэффициент для полуполосного фильтра значение семпла данных суммируется само с собой, что эквивалентно умножению на два. Т.е. выражение d*1 заменяется выражением (d+d)*0.5.
    Таким образом выполняется максимальное использование разрядной сетки коэффициентов с минимальным кол-вом суммирований и почти без дополнительных затрат логики.
    [свернуть]


    Конфигурация проекта


    Конфигурация проекта под разные FPGA реализуется через файл config.v, который содержит настройки условной компиляции.

    Выбор стиля описания логики. Нужен для оптимизации логики под fpga lattice или под альтеру.
    //`define LOGIC_STYLE_ALTERA
    `define LOGIC_STYLE_LATTICE

    // define RAM block zise for selected device - Выбор размера выделенных блоков памяти. Используется для оптимизации расхода блоков памяти.
    //`define BLOCK_RAM_SIZE_4K
    `define BLOCK_RAM_SIZE_9K

    // define FIR bus resolution (in bits). Valid values: from 26 to 36. - Выбор разрядности шины данных. Задает разрядность шины с учетом запаса в 1 бит на переполнение. Значение 31 бит означает разрядность данных на входе 30 бит.
    `define BUS_WIDTH 31 // Must be lower or equal ACC_WIDTH !!!!!
    Данное значение разрядности можно понижать, но для исключения накопления ошибок округления рекомендуется при этом включать дизеринг мака.

    // define accumulator bus resolution (in bits). Valid values: from 32 to 42. - Выбор разрядности аккумулятора. Разрядность так же можно понижать, но с включением дизеринга.
    `define ACC_WIDTH 37 // Must be grater or equal BUS_WIDTH !!!!!

    // MAC dithering signed random value length in bits. Valid values: from 2 to 8 - разрядность дизеринга при округдении в маке. Нуль - означает выключен.
    // Zero value switch dithering off.
    `define MAC_DITH_WIDTH 0

    // Enable dedicated FPGA multipplier - Включает описание умножителей для подключения выделенных блоков умножения, при их наличии в выбранной FPGA.
    //`define MULT_DEDICATED_ENA

    // select attenuate module: input (logic based), or coefficient (coef_ROM based) - Выбор построения входного аттенюатора: коэффициентами или входным аттенюатором.
    `define INPUT_ATT_ENA
    //`define COEF_ATT_ENA
    Аттенюация коэффициентами расходует дополнительные блоки памяти на коэффициенты (в 4 раза больше), но экономит эчейки, т.к. не использует логику на входном аттенюаторе.
    Аттенюатор во входном модуле предпочтительнее с точки зрения точности арифметики, т.к. Аттенюация коэффициентами, хоть и не значительно, но понижает разрядность коэффициентов (пропорционально уровню ослабления).

    // Input attenuator dithering signed random value length in bits. Valid values: from 2 to 8 - Задает уровень дизеринга во входном аттенюаторе. Используется при включении аттенюатора и пониженной разрядности шины данных. Нуль - значит выключен.
    // Zero value switch dithering off.
    `define ATT_DITH_WIDTH 0
    [свернуть]
    Вложения Вложения
    Последний раз редактировалось dortonyan; 15.11.2021 в 12:28.

  2. #181
    Старый знакомый Аватар для tomtit
    Регистрация
    23.06.2009
    Адрес
    пгт.Торонтовка
    Возраст
    65
    Сообщений
    945

    По умолчанию Re: Проектируем цифровой фильтр для ЦАП 2

    Вот ещё один ДС модулятор от Sony - CXD2562.
    Когда-то написал на верилоге и проверил в симуляторе.
    mash3_v.txt
    pwm16_v.txt

  3. #182
    Завсегдатай Аватар для sia_2
    Регистрация
    18.07.2005
    Сообщений
    4,009

    По умолчанию Re: Проектируем цифровой фильтр для ЦАП 2

    Цитата Сообщение от tomtit Посмотреть сообщение
    Вот ещё один ДС модулятор от Sony - CXD2562.
    Когда-то написал на верилоге и проверил в симуляторе.
    mash3_v.txt
    pwm16_v.txt

    Offтопик:
    Ага. Я правда, когда с этим возился, пришел к выводу, что если оставить в стороне вопрос реализации аналоговой части, то грамотный однобитник с тщательно подобранными коэффициентами для получения квазихаотического характера предельных циклов даже без дитеринга, практически невозможно перебить по SQNR при заданной тактовой. Но поскольку запатентовать сейчас такое практически невозможно (конкретно эта поляна вытоптана еще лет 25 назад), разработчики ищут способ выпендриться как-нибудь еще.

  4. #183
    Старый знакомый Аватар для tomtit
    Регистрация
    23.06.2009
    Адрес
    пгт.Торонтовка
    Возраст
    65
    Сообщений
    945

    По умолчанию Re: Проектируем цифровой фильтр для ЦАП 2


    Offтопик:
    В случае 2562 вообще смешно, если просто удвоить частоту модулятора, без изменения тактовой и
    убрать нафиг ихний патентованный PLM выход, а сделать простейший табличный ШИМ на 8 тактов [-3:+3] то
    получится реально беспроблемный модулятор. Тонкость только в том, что нужно вычесть 2 ШИМ сигнала
    в аналоговой части. Как ни странно на спектре не появится никаких остаточных ШИМ искажений выше -140дб. Ну и выходной уровень МЭШа всего 1/4
    Последний раз редактировалось tomtit; 25.01.2022 в 19:00.

  5. #184
    Завсегдатай Аватар для sia_2
    Регистрация
    18.07.2005
    Сообщений
    4,009

    По умолчанию Re: Проектируем цифровой фильтр для ЦАП 2


    Offтопик:
    А мне, как я уже говорил, больше всего нравится банальный однобитник, правда, с достаточно большим максимальным индексом модуляции, 0.8-0.85. Выжать, кстати, можно и больше, вплоть до 0.93-0.95, но с учетом изменения характера шума уже становится невыгодно.

  6. #185
    Завсегдатай Аватар для Semigor
    Регистрация
    21.01.2004
    Адрес
    Ижевск
    Возраст
    67
    Сообщений
    3,550

    По умолчанию Re: Проектируем цифровой фильтр для ЦАП 2

    Цитата Сообщение от tomtit Посмотреть сообщение
    Вот ещё один ДС модулятор от Sony - CXD2562.

    Offтопик:
    На мой суб*ективный вкус - второе место после 1853 среди всех дельта-сигм.
    транзисторный однотакт любой модификации -это масло сливочное (с) FEDGEN

  7. #186
    Завсегдатай Аватар для Turbo_man
    Регистрация
    06.04.2010
    Адрес
    Moscow
    Сообщений
    5,553

    По умолчанию Re: Проектируем цифровой фильтр для ЦАП 2

    Цитата Сообщение от Turbo_man Посмотреть сообщение
    Сергей, вот эта платка с флешкой ST то, о чём ты говоришь?

    https://www.ebay.com/itm/272912964704
    Добралась эта платка до меня.
    Софт немного адаптировал для себя, но со встроенным генератором на 50МГц пока.
    Платка прошилась в режиме AS без проблем. Только прогресс-бар 0...100% проходил 2 или 3 раза (наверно очистка, программирование и верификация).
    Осталось перейти на аудио-генераторы 1024Fs.
    Потом начну добавлять свой собственный отлаженный функционал (ФИФО с внешним ОЗУ, конвейер и попробую сваять спдиф-приёмник сюда же).

    Спасибо, dortonyan-у ещё раз.

    ---------- Сообщение добавлено 17:01 ---------- Предыдущее сообщение было 16:44 ----------

    По ходу дела попалась ещё такая платка:

    https://www.ebay.com/itm/29437582886...Cclp%3A2047675

    Тут есть ОЗУ, но не видно пинов программирования.

  8. #187
    Завсегдатай Аватар для Михаил45
    Регистрация
    09.03.2007
    Адрес
    Санкт-Петербург
    Возраст
    62
    Сообщений
    2,096

    По умолчанию Re: Проектируем цифровой фильтр для ЦАП 2

    Володя, поделишься чем-нибудь, как Алексей(Дартаньян)?

  9. #188
    Завсегдатай Аватар для Turbo_man
    Регистрация
    06.04.2010
    Адрес
    Moscow
    Сообщений
    5,553

    По умолчанию Re: Проектируем цифровой фильтр для ЦАП 2


    Offтопик:
    Думаю смогу хотя бы частично.
    У меня всё в графической форме и не всегда удобочитаемо. Верилогом не владею по-прежнему. И не уверен, что сподоблюсь уже.
    Хотя вроде как-то пробовал сделать автогенерацию верилог-кода из графической схемы.

  10. #189
    Завсегдатай
    Автор темы
    Аватар для dortonyan
    Регистрация
    03.06.2009
    Адрес
    BLR
    Возраст
    38
    Сообщений
    3,095

    По умолчанию Re: Проектируем цифровой фильтр для ЦАП 2

    Цитата Сообщение от Turbo_man Посмотреть сообщение
    У меня всё в графической форме и не всегда удобочитаемо. Верилогом не владею по-прежнему. И не уверен, что сподоблюсь уже.
    А как вы собираетесь строить фифо и спдиф? В графике это можно голову сломать.
    Потратьте лучше вечер на освоение азов верилога (ну может пару вечеров), зато остальное будет делаться на порядок быстрее. В шапке соотв. литература имеется.
    Вопросы описания отдельных лог. элементов (регистров, счетчиков, мультиплексоров, конечных автоматов и проч.) можно прямо в этой ветке обсудить.

  11. #190
    Завсегдатай Аватар для Turbo_man
    Регистрация
    06.04.2010
    Адрес
    Moscow
    Сообщений
    5,553

    По умолчанию Re: Проектируем цифровой фильтр для ЦАП 2

    dortonyan, спасибо за предложение помощи в освоении верилога. Попытку сделаю теперь.

    По вашему блоку sai_output вопрос: вы пробовали подключать микросхемы ЦАП от B-B (PCM56, PCM58, PCM63, PCM1700)? Мне кажется будут проблемы с их работоспособностью (я сам уже с таким сталкивался ранее у себя в ФИФО), т.к. все bck1 и bck2 импульсы проходят у вас при неизменных уровнях сигналов wck1, ltch1, wck2 и ltch2.
    А это противоречит их даташитам.

    Нажмите на изображение для увеличения. 

Название:	bck_pcm58.png 
Просмотров:	61 
Размер:	60.7 Кб 
ID:	418949

    Нажмите на изображение для увеличения. 

Название:	pcm1700.png 
Просмотров:	48 
Размер:	67.3 Кб 
ID:	418950

    Нажмите на изображение для увеличения. 

Название:	bck_df1.png 
Просмотров:	53 
Размер:	59.3 Кб 
ID:	418951

    ---------- Сообщение добавлено 18:34 ---------- Предыдущее сообщение было 18:05 ----------

    Я сам с тех пор делаю вот так (кроме TDA1541):

    Нажмите на изображение для увеличения. 

Название:	PCM63.jpg 
Просмотров:	67 
Размер:	209.1 Кб 
ID:	418954Нажмите на изображение для увеличения. 

Название:	PCM1704.jpg 
Просмотров:	61 
Размер:	259.3 Кб 
ID:	418953

    ---------- Сообщение добавлено 18:38 ---------- Предыдущее сообщение было 18:34 ----------

    Цитата Сообщение от dortonyan Посмотреть сообщение
    А как вы собираетесь строить фифо и спдиф?
    ФИФО давно уже сделано, а спдиф много проще, кмк.

    ---------- Сообщение добавлено 18:54 ---------- Предыдущее сообщение было 18:38 ----------

    Алексей, а как мне подключиться своей схемой к вашим 24-х битным шинам q_left[23..0] и q_right[23..0] модуля DF1_FIR_CORE, чтобы обойти модуль SAI_output?
    Последний раз редактировалось Turbo_man; 14.03.2022 в 18:25.

  12. #191
    Завсегдатай
    Автор темы
    Аватар для dortonyan
    Регистрация
    03.06.2009
    Адрес
    BLR
    Возраст
    38
    Сообщений
    3,095

    По умолчанию Re: Проектируем цифровой фильтр для ЦАП 2

    Цитата Сообщение от Turbo_man Посмотреть сообщение
    По вашему блоку sai_output вопрос: вы пробовали подключать микросхемы ЦАП от B-B (PCM56, PCM58, PCM63, PCM1700)? Мне кажется будут проблемы с их работоспособностью (я сам уже с таким сталкивался ранее у себя в ФИФО), т.к. все bck1 и bck2 импульсы проходят у вас при неизменных уровнях сигналов wck1, ltch1, wck2 и ltch2.
    А это противоречит их даташитам.
    Данные ЦАПы не пробовал (кроме своего вообще никакие не пробовал), но противоречия не вижу. Все что написано в примечаниях в ДШ, это что LE должен быть в нуле на первом клоке BCK, и иметь ширину в нуле и единице не менее одного периода BCK. Все эти условия у меня выполнены.

    Цитата Сообщение от Turbo_man Посмотреть сообщение
    ФИФО давно уже сделано, а спдиф много проще, кмк.
    По моему - наоборот. Я как-то раньше тоже собирался писать spdif рессивер, но потом отказался, из-за геморройности реализации и отсутствия VCXO. Передача данных пишется несложно. А на приеме надо распознавать преамбулу и частоту семплирования, синхронизироваться как-то. Но могу и ошибаться, т.к. даже до реализации в симуляции дело не дошло.

  13. #192
    Завсегдатай Аватар для Turbo_man
    Регистрация
    06.04.2010
    Адрес
    Moscow
    Сообщений
    5,553

    По умолчанию Re: Проектируем цифровой фильтр для ЦАП 2

    Цитата Сообщение от dortonyan Посмотреть сообщение
    Все эти условия у меня выполнены.
    Нет. Первый битклок при 0 на входе LATCH, а последний битклок должен быть при 1. В середине неважно.
    Я наступал уже на эти грабли. У меня все битклоки были при 1, а в 0 переходил при отсутствии битклоков.

    ---------- Сообщение добавлено 19:14 ---------- Предыдущее сообщение было 19:02 ----------

    Цитата Сообщение от dortonyan Посмотреть сообщение
    А на приеме надо распознавать преамбулу и частоту семплирования, синхронизироваться как-то. Но могу и ошибаться, т.к. даже до реализации в симуляции дело не дошло.
    Я пока только в симуляторе пробовал, но не довёл до конца. Возможно я и не прав.

    ---------- Сообщение добавлено 19:42 ---------- Предыдущее сообщение было 19:14 ----------

    Цитата Сообщение от dortonyan Посмотреть сообщение
    но потом отказался, из-за геморройности реализации и отсутствия VCXO.
    Вместо VCXO нужно пробовать перестраиваемый PLL из нашей ФПГА.

  14. #193
    Завсегдатай
    Автор темы
    Аватар для dortonyan
    Регистрация
    03.06.2009
    Адрес
    BLR
    Возраст
    38
    Сообщений
    3,095

    По умолчанию Re: Проектируем цифровой фильтр для ЦАП 2

    Цитата Сообщение от Turbo_man Посмотреть сообщение
    а последний битклок должен быть при 1
    Такого требования напрямую в ДШ нету.
    Это на приведенном рисунке так получается, потому что там новый фрейм следует сразу по завершении предыдущего. А что должно быть когда между фреймами разрывы - нигде не указано.

    Цитата Сообщение от Turbo_man Посмотреть сообщение
    Я наступал уже на эти грабли. У меня все битклоки были при 1, а в 0 переходил при отсутствии битклоков.
    Не понял, что вы имеете ввиду. Можете подробнее расписать (или картинкой) - какой вариант с каким ЦАПом не работал?

    Цитата Сообщение от Turbo_man Посмотреть сообщение
    Вместо VCXO нужно пробовать перестраиваемый PLL из нашей ФПГА.
    Не ну так низкого джиттера не получится. Понятно, что можно реклочить через fifo, но из других решений - только vcxo.

  15. #194
    Завсегдатай Аватар для Turbo_man
    Регистрация
    06.04.2010
    Адрес
    Moscow
    Сообщений
    5,553

    По умолчанию Re: Проектируем цифровой фильтр для ЦАП 2

    Цитата Сообщение от dortonyan Посмотреть сообщение
    Такого требования напрямую в ДШ нету.
    Да, вы правы, скорее всего. Это я так понял из картинки.

    У меня не работал вариант, когда сигнал LE был равен сигналу разрешения прохождения битклоков. Картинки сейчас нет.
    Цитата Сообщение от dortonyan Посмотреть сообщение
    Не ну так низкого джиттера не получится.
    Этого не требуется при наличии ФИФО.

    ---------- Сообщение добавлено 14:42 ---------- Предыдущее сообщение было 11:22 ----------

    Заработал ЦФ 32х

    Нажмите на изображение для увеличения. 

Название:	df_ok.jpg 
Просмотров:	116 
Размер:	356.6 Кб 
ID:	418994
    Нажмите на изображение для увеличения. 

Название:	scop1.jpg 
Просмотров:	97 
Размер:	293.5 Кб 
ID:	418995

    ---------- Сообщение добавлено 15:02 ---------- Предыдущее сообщение было 14:42 ----------

    Выкладываю этот проект для этой платки с Cyclone-IV.
    Несложно переделать под свои нужды.
    Вложения Вложения
    Последний раз редактировалось Turbo_man; 15.03.2022 в 14:53.

  16. #195
    Завсегдатай Аватар для Михаил45
    Регистрация
    09.03.2007
    Адрес
    Санкт-Петербург
    Возраст
    62
    Сообщений
    2,096

    По умолчанию Re: Проектируем цифровой фильтр для ЦАП 2

    Володя здорово! Конвейер у тебя в слейве от ЦФ? Так видно на фото и платку генов ты переключаешь циклоном?
    Спасибо за выложенный проект.

  17. #196
    Завсегдатай Аватар для Turbo_man
    Регистрация
    06.04.2010
    Адрес
    Moscow
    Сообщений
    5,553

    По умолчанию Re: Проектируем цифровой фильтр для ЦАП 2

    Платы конвейера на фото нет, это плата ФИФО в слейве.
    Цитата Сообщение от Михаил45 Посмотреть сообщение
    Так видно на фото и платку генов ты переключаешь циклоном?
    Да.

    ---------- Сообщение добавлено 15:50 ---------- Предыдущее сообщение было 15:40 ----------

    Конвейер для 8шт. TDA1541 уже впихнул в этот же проект ЦФ на Циклон-4.
    Надо проверять. Правда пока всё сделано "влоб", т.к выходной модуль ЦФ sai_output пока участвует в передаче (PARALLEL->SERIAL в ЦФ и SERIAL->PARALLEL в конвейере).

    ---------- Сообщение добавлено 16:08 ---------- Предыдущее сообщение было 15:50 ----------

    Кстати да, и у меня не работает такое определение:
    Код:
    //input[1:0] ovs_max, 	// max oversampling 0..3 - x4..x32
    wire[1:0] ovs_max = 3, 	// max oversampling 0..3 - x4..x32
    Ругается:
    Error (10170): Verilog HDL syntax error at df1.v(24) near text "wire"; expecting a direction

  18. #197
    Завсегдатай Аватар для Михаил45
    Регистрация
    09.03.2007
    Адрес
    Санкт-Петербург
    Возраст
    62
    Сообщений
    2,096

    По умолчанию Re: Проектируем цифровой фильтр для ЦАП 2

    У меня не ругается, сделано так:
    // FIR filter config
    wire lp_mode=0; // 1 - enable low power mode
    wire[1:0] att=0; // attenuation input signal: 0 - 0db, 1 - 1db, 2 - 2db, 3 - 3db
    wire[1:0] ovs_max=3; // max oversampling 0..3 - x4..x32

  19. #198
    Завсегдатай Аватар для Turbo_man
    Регистрация
    06.04.2010
    Адрес
    Moscow
    Сообщений
    5,553

    По умолчанию Re: Проектируем цифровой фильтр для ЦАП 2

    Скопипастил твои строчки.
    Странно, у меня error.

  20. #199
    Завсегдатай Аватар для Михаил45
    Регистрация
    09.03.2007
    Адрес
    Санкт-Петербург
    Возраст
    62
    Сообщений
    2,096

    По умолчанию Re: Проектируем цифровой фильтр для ЦАП 2

    Цитата Сообщение от Turbo_man Посмотреть сообщение
    Скопипастил твои строчки.
    Странно, у меня error.
    Проверь. У меня 2 циклон и 13 квартус.
    Вложения Вложения
    • Тип файла: 7z DF.7z (7.87 Мб, Просмотров: 63)

  21. #200
    Завсегдатай Аватар для Turbo_man
    Регистрация
    06.04.2010
    Адрес
    Moscow
    Сообщений
    5,553

    По умолчанию Re: Проектируем цифровой фильтр для ЦАП 2

    Чудеса, твой проект компилируется и в Квартусе 9.1.

Страница 10 из 33 Первая ... 8910111220 ... Последняя

Социальные закладки

Социальные закладки

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •